实验1--串口通信小试

一. 了解串口协议和RS-232、485标准,以及RS232、485电平与TTL电平的区别;了解"USB/TTL转232"模块(以CH340芯片模块为例)的工作原理。
一、串口通讯协议和RS-232
1.简介
串口通讯(Serial Communication)是一种设备间非常常用的串行通讯方式,因为它简单、便捷,因此大部分电子设备都支持该通讯方式,电子工程师在调试设备时也经常使用该通 讯方式输出调试信息。
2.RS-232 标准
RS-232 标准主要规定了信号的用途,通讯接口以及信号的电平标准。
在这里插入图片描述
在上面的通讯方式中,两个通讯设备的“DB9接口”之间通过串口信号线建立起连接,串口信号线中使用“RS-232标准”传输数据信号。由于RS-232电平标准的信号不能直接被控制器直接识别,所以这些信号会经过一个“电平转换芯片”转换成控制器能识别的“TTL校准”的电平信号,才能实现通讯。

二、RS232电平与TTL电平的区别
根据通讯使用的电平标准不同,串口通讯可分为 TTL标准和 RS-232标准
标准名称 逻辑1 逻辑0
TLL 2.4V~5V 0~0.5V
RS-232 -15V~3V +3V~+15V
从表格中不难看出,两种标准划分的逻辑电压不同。在电子电路中常使用 TTL 的电平标准,理想状态下,使用 5V 表示二进制逻辑 1,使用 0V 表示逻辑 0;而为了增加串口通讯的远距离传输及抗干扰能力,它使用-15V表示逻辑 1,+15V 表示逻辑 0

下图为用RS232与TTL电平校准表示同一个信号时的对比
在这里插入图片描述
三、USB/TTL转232“模块(CH340芯片为例)
1.基本工作原理
USB转串口即实现计算机USB接口到物理串口之间的转换。可以为没有串口的计算机或其他USB主机增加串口,使用USB转串口设备等于将传统的串口设备变成了即插即用的USB设备。

USB主机检测到USB转串口设备插入后,首先会对设备复位,然后开始USB枚举过程。USB枚举时过程会获取设备描述符、配置描述符、接口描述符等。描述符中会包含USB设备的厂商ID,设备ID和Class类别等信息。操作系统会根据该信息为设备匹配相应的USB设备驱动。

USB虚拟串口的实现在系统上依赖于USB转串口驱动,一般由厂家直接提供,也可以使用操作系统自带的CDC类串口驱动等。驱动主要分为2个功能,其一注册USB设备驱动,完成对USB设备的控制与数据通讯,其二注册串口驱动,为串口应用层提供相应的实现方法。

2.CH340模块介绍
1.CH340是什么芯片
CH340 是一个USB 总线的转接芯片,实现USB 转串口、USB 转IrDA 红外或者USB 转打印口。
在串口方式下,CH340 提供常用的MODEM联络信号,用于为计算机扩展异步串口,或者将普通的串口设备直接升级到USB 总线。有关USB 转打印口的说明请参考手册(二)CH340DS2。
在红外方式下,CH340 外加红外收发器即可构成USB 红外线适配器,实现SIR 红外线通讯。
特点:
● 全速USB 设备接口,兼容USB V2.0,外围元器件只需要晶体和电容。
● 仿真标准串口,用于升级原串口外围设备,或者通过USB 增加额外串口。
● 计算机端Windows 操作系统下的串口应用程序完全兼容,无需修改。
● 硬件全双工串口,内置收发缓冲区,支持通讯波特率50bps~2Mbps。
● 支持常用的MODEM 联络信号RTS、DTR、DCD、RI、DSR、CTS。
● 通过外加电平转换器件,提供RS232、RS485、RS422 等接口。
● 支持IrDA 规范SIR 红外线通讯,支持波特率2400bps 到115200bps。
● 由于是通过USB 转换的串口,所以只能做到应用层兼容,而无法绝对相同。
● 软件兼容CH341,可以直接使用CH341 的驱动程序。
● 提供SSOP-20 无铅封装,兼容RoHS。

  1. CH340模块的主要构成及其接口
    在这里插入图片描述
    USB转串口电路板与单片机的接线图,VCC接线是为了单片机供电,USB转串口的RXD引脚与单片机的TXD引脚相连,USB转串口的TXD引脚与单片机的RXD引脚相连,两者的GND引脚直接相连。

四、总结
本篇博客介绍了STM32F103单片机的串口协议,介绍了RS-232 标准,解释了RSS-232转为TLL的原因以及其原理,并用两者的电平做了对比。阐述了USB转接串口基本原理,并以CH340模块为案例介绍。

二. 安装 stm32CubeMX,配合Keil,分别尝试使用寄存器地址方式(汇编或C,不限) 和HAL库这两种方式,完成下列任务:
1、重做上一个LED流水灯作业,即用GPIO端口完成3只LED红绿灯的周期闪烁。

一、GPIO端口的初始化设置:时钟配置、输入输出模式设置、最大速率设置
1、因为流水灯要操作的引脚都是在GPIO端口的,所以根据系统结构图,属于AHB总线,所以所要用的端口的复位和时间控制都受RCC控制。
在这里插入图片描述
2、跳到这里,就是外设时钟使能寄存器,,偏移量为0x18,而在前面一个表可以看到起始地址为0x4002 1000,偏移量为0x18,所以该寄存器的地址为0x4002 1018

在这里插入图片描述
3、然后就是配置端口配置寄存器了,这个是非常关键的,可以发现上面的时钟使能寄存器开启时钟是针对一个区域的,并不能确定引脚,而这个寄存器就是确定引脚的,端口配置寄存器有两个,分别为端口配置低寄存器(CRL)和端口配置高寄存器(CRH),每四位配置一个端口,如11 01,11就是选择开启功能,01就是选择模式和确定最大速度,但有一点不一样,低寄存器的偏移地址为0x00,高寄存器的偏移地址为0x04
在这里插入图片描述
在这里插入图片描述
4、以PC15为示例,相应端口配置器GPIOA_CRL地址为GPIOA的基址+上偏移量,为0x40011004``,而这个端口要开启,所以要使对应位为相应的值,我这里是0x30000000,设置推挽输出并设置最大速度为2Mhz,下面为相应代码

#define GPIOC_CRL ((unsigned volatile int)0x40011004)
GPIOC_CRL=0x30000000; //PC15推挽输出,2Mhz
5、接下来就是配置端口输出寄存器(ORD),可以看到偏移量为0xc,所以该寄存器的地址等于端口的基址加上偏移量,在相应的位赋值可以控制输出电压,0为低电压,1为高电压,以PA7引脚为例子,想要输出高电压,就需要在第八位赋1

#define GPIOA_ORD ((unsigned volatile int)0x4001080C)
GPIOA_ORD|=1<<7; //设置初始灯为亮
二、C语言实现流水灯
1、build编译程序
在这里插入图片描述
2、使用mcuisp软件将程序烧录到最小版上面,先选择编译生成的.hex文件,然后点击开始编译,也可以先读取器件信息再编译 在这里插入图片描述
3、烧录成功之后如图接好线就可以点亮LED灯了
在这里插入图片描述
三、汇编语言实现LED灯点亮

1、新建一个工程,不要勾选Startup,否则会程序报错
2、编入汇编代码

RCC_APB2ENR EQU 0x40021018;配置RCC寄存器,时钟,0x40021018为时钟地址
GPIOC_CRH EQU 0x40011004;配置GPIOC_CRH寄存器,是端口配置高寄存器,高位的偏移地址为0x04
GPIOC_ORD EQU 0x4001100c;配置GPIOC_ORD寄存器,是端口输出寄存器,输出由这里控制
GPIOA_CRL EQU 0x40010800;配置GPIOC_CRH寄存器,是端口配置高寄存器,高位的偏移地址为0x04
GPIOA_ORD EQU 0x4001080C;配置GPIOC_ORD寄存器,是端口输出寄存器,输出由这里控制
GPIOB_CRH EQU 0x40010C04;配置GPIOC_CRH寄存器,是端口配置高寄存器,高位的偏移地址为0x04
GPIOB_ORD EQU 0x40010C0C;配置GPIOC_ORD寄存器,是端口输出寄存器,输出由这里控制
Stack_Size EQU 0x00000400;栈的大小
;分配一个stack段,该段不初始化,可读写,按8字节对齐。分配一个大小为Stack_Size的存储空间,并使栈顶的地址为__initial_sp。
AREA STACK, NOINIT, READWRITE, ALIGN=3 ;NOINIT: = NO Init,不初始化。READWRITE : 可读,可写。ALIGN =3 : 2^3 对齐,即8字节对齐。
Stack_Mem SPACE Stack_Size
__initial_sp

            AREA    RESET, DATA, READONLY

__Vectors DCD __initial_sp ; Top of Stack
DCD Reset_Handler ; Reset Handler

            AREA    |.text|, CODE, READONLY
                
            THUMB
            REQUIRE8
            PRESERVE8
                
            ENTRY

Reset_Handler
bl LED_Init;bl:带链接的跳转指令。当使用该指令跳转时,当前地址(PC)会自动送入LR寄存器
MainLoop BL LED_ON_C
BL Delay
BL LED_OFF_C
BL Delay
BL LED_ON_A
BL Delay
BL LED_OFF_A
BL Delay
BL LED_ON_B
BL Delay
BL LED_OFF_B
BL Delay

            B MainLoop;B:无条件跳转。

LED_Init;LED初始化
PUSH {R0,R1, LR};R0,R1,LR中的值放入堆栈

            LDR R0,=RCC_APB2ENR;LDR是把地址装载到寄存器中(比如R0)。
            ORR R0,R0,#0x1c;ORR 按位或操作,11100将R0的第二位置1,其他位不变
            LDR R1,=RCC_APB2ENR
            STR R0,[R1];STR是把值存储到寄存器所指的地址中,将r0里存储的值给rcc寄存器
			;上面一部分汇编代码是控制时钟的
			
			
            ;初始化GPIOA部分
            LDR R0,=GPIOA_CRL
            BIC R0,R0,#0x0fffffff;BIC 先把立即数取反,再按位与
            LDR R1,=GPIOA_CRL
            STR R0,[R1]
            ;上面的代码是初始化CPIOC_CRH
            LDR R0,=GPIOA_CRL
            ORR R0,#0x20000000;开启的是pc15,所以是2,为0100,是推挽输出模式,最大速度为2mhz
            LDR R1,=GPIOA_CRL
            STR R0,[R1]
			;GPIOC的端口配置高寄存器配置完毕,也就是CPIOA_CRH配置完成,端口的输出模式确定,不使用的都设为复位后的状态,为0100,所以上面处理输出为都是4
            ;将PC15置1
            MOV R0,#0x80; 二进制为0b1000 0000 ,第7位就是a7引脚的输出电压
            LDR R1,=GPIOA_ORD ;由r1控制ord寄存器
            STR R0,[R1] ;将ord寄存器的值变为r0的值
			
			 ;初始化GPIOB部分
            LDR R0,=GPIOB_CRH
            BIC R0,R0,#0xffffff0f;BIC 先把立即数取反,再按位与,用的是b9,所以把第二位置零
            LDR R1,=GPIOB_CRH
            STR R0,[R1]
            ;上面的代码是初始化CPIOC_CRH
            LDR R0,=GPIOB_CRH
            ORR R0,#0x00000020;开启的是pc15,所以是2,为0100,是推挽输出模式,最大速度为2mhz
            LDR R1,=GPIOB_CRH
            STR R0,[R1]
			;GPIOC的端口配置高寄存器配置完毕,也就是CPIOA_CRH配置完成,端口的输出模式确定,不使用的都设为复位后的状态,为0100,所以上面处理输出为都是4
            ;将PC15置1
            MOV R0,#0x200; 二进制为0b10 0000 0000,第16位就是b9引脚的输出电压
            LDR R1,=GPIOB_ORD ;由r1控制ord寄存器
            STR R0,[R1] ;将ord寄存器的值变为r0的值
			
			 ;初始化GPIOC部分
            LDR R0,=GPIOC_CRH
            BIC R0,R0,#0x0fffffff;BIC 先把立即数取反,再按位与,就是将三十二位全部置零
            LDR R1,=GPIOC_CRH
            STR R0,[R1]
            ;上面的代码是初始化CPIOC_CRH
            LDR R0,=GPIOC_CRH
            ORR R0,#0x20000000;开启的是pc15,所以是2,为0100,是推挽输出模式,最大速度为2mhz
            LDR R1,=GPIOC_CRH
            STR R0,[R1]
			;GPIOC的端口配置高寄存器配置完毕,也就是CPIOA_CRH配置完成,端口的输出模式确定,不使用的都设为复位后的状态,为0100,所以上面处理输出为都是4
            ;将PC15置1
            MOV R0,#0x8000; 二进制为0b1000 0000 0000 0000,第16位就是c15引脚的输出电压
            LDR R1,=GPIOC_ORD ;由r1控制ord寄存器
            STR R0,[R1] ;将ord寄存器的值变为r0的值
         
            POP {R0,R1,PC};将栈中之前存的R0,R1,LR的值返还给R0,R1,PC

LED_ON_A;亮灯
PUSH {R0,R1, LR}

            MOV R0,#0x00 ;二进制为0b0000 0000 0000 0000,第16位为0,后面将作为pc15的输出电压
            LDR R1,=GPIOA_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1];将r0的值赋予在GPIOC_ORD中
         
            POP {R0,R1,PC}

LED_OFF_A;熄灯
PUSH {R0,R1, LR}

            MOV R0,#0x80 ;二进制为0b 1000 0000 0000 0000,第16位为1,后面将作为pc15的输出电压
            LDR R1,=GPIOA_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1] ;[]是指对里面的地址操作,所以是将r0的值赋予GPIOC_ORD
         
            POP {R0,R1,PC}  

LED_ON_B;亮灯
PUSH {R0,R1, LR}

            MOV R0,#0x000 ;二进制为0b0000 0000 0000 0000,第16位为0,后面将作为pc15的输出电压
            LDR R1,=GPIOB_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1];将r0的值赋予在GPIOC_ORD中
         
            POP {R0,R1,PC}

LED_OFF_B;熄灯
PUSH {R0,R1, LR}

            MOV R0,#0x200 ;二进制为0b 1000 0000 0000 0000,第16位为1,后面将作为pc15的输出电压
            LDR R1,=GPIOB_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1] ;[]是指对里面的地址操作,所以是将r0的值赋予GPIOC_ORD
         
            POP {R0,R1,PC}  

LED_ON_C;亮灯
PUSH {R0,R1, LR}

            MOV R0,#0x0000 ;二进制为0b0000 0000 0000 0000,第16位为0,后面将作为pc15的输出电压
            LDR R1,=GPIOC_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1];将r0的值赋予在GPIOC_ORD中
         
            POP {R0,R1,PC}

LED_OFF_C;熄灯
PUSH {R0,R1, LR}

            MOV R0,#0x8000 ;二进制为0b 1000 0000 0000 0000,第16位为1,后面将作为pc15的输出电压
            LDR R1,=GPIOC_ORD ;将GPIOC的地址赋予r1
            STR R0,[R1] ;[]是指对里面的地址操作,所以是将r0的值赋予GPIOC_ORD
         
            POP {R0,R1,PC}             

Delay
PUSH {R0,R1, LR}

            MOVS R0,#0
            MOVS R1,#0
            MOVS R2,#0

DelayLoop0
ADDS R0,R0,#1

            CMP R0,#330
            BCC DelayLoop0
            
            MOVS R0,#0
            ADDS R1,R1,#1
            CMP R1,#330
            BCC DelayLoop0 ;无进位

            MOVS R0,#0
            MOVS R1,#0
            ADDS R2,R2,#1
            CMP R2,#15
            BCC DelayLoop0
            
            
            POP {R0,R1,PC}    
            NOP
			END

3、编译和烧录:过程C语言的一样

2、完成一个STM32的USART串口通讯程序(查询方式即可,暂不要求采用中断方式),要求:

1)设置波特率为115200,1位停止位,无校验位;

2)STM32系统给上位机(win10)连续发送“hello windows!”。win10采用“串口助手”工具接收。

一、编写代码
1)创建新工程并编写代码

首先创建新的工程,安装上篇文章的步骤所示
特别说明:不用勾选 CORE 和 Startup
在这里插入图片描述

创建完工程后,添加 asm 汇编文件,并添加如下的代码:
;RCC寄存器地址映像
RCC_BASE EQU 0x40021000
RCC_CR EQU (RCC_BASE + 0x00)
RCC_CFGR EQU (RCC_BASE + 0x04)
RCC_CIR EQU (RCC_BASE + 0x08)
RCC_APB2RSTR EQU (RCC_BASE + 0x0C)
RCC_APB1RSTR EQU (RCC_BASE + 0x10)
RCC_AHBENR EQU (RCC_BASE + 0x14)
RCC_APB2ENR EQU (RCC_BASE + 0x18)
RCC_APB1ENR EQU (RCC_BASE + 0x1C)
RCC_BDCR EQU (RCC_BASE + 0x20)
RCC_CSR EQU (RCC_BASE + 0x24)

;AFIO寄存器地址映像
AFIO_BASE EQU 0x40010000
AFIO_EVCR EQU (AFIO_BASE + 0x00)
AFIO_MAPR EQU (AFIO_BASE + 0x04)
AFIO_EXTICR1 EQU (AFIO_BASE + 0x08)
AFIO_EXTICR2 EQU (AFIO_BASE + 0x0C)
AFIO_EXTICR3 EQU (AFIO_BASE + 0x10)
AFIO_EXTICR4 EQU (AFIO_BASE + 0x14)

;GPIOA寄存器地址映像
GPIOA_BASE EQU 0x40010800
GPIOA_CRL EQU (GPIOA_BASE + 0x00)
GPIOA_CRH EQU (GPIOA_BASE + 0x04)
GPIOA_IDR EQU (GPIOA_BASE + 0x08)
GPIOA_ODR EQU (GPIOA_BASE + 0x0C)
GPIOA_BSRR EQU (GPIOA_BASE + 0x10)
GPIOA_BRR EQU (GPIOA_BASE + 0x14)
GPIOA_LCKR EQU (GPIOA_BASE + 0x18)

;GPIO C口控制
GPIOC_BASE EQU 0x40011000
GPIOC_CRL EQU (GPIOC_BASE + 0x00)
GPIOC_CRH EQU (GPIOC_BASE + 0x04)
GPIOC_IDR EQU (GPIOC_BASE + 0x08)
GPIOC_ODR EQU (GPIOC_BASE + 0x0C)
GPIOC_BSRR EQU (GPIOC_BASE + 0x10)
GPIOC_BRR EQU (GPIOC_BASE + 0x14)
GPIOC_LCKR EQU (GPIOC_BASE + 0x18)

;串口1控制
USART1_BASE EQU 0x40013800
USART1_SR EQU (USART1_BASE + 0x00)
USART1_DR EQU (USART1_BASE + 0x04)
USART1_BRR EQU (USART1_BASE + 0x08)
USART1_CR1 EQU (USART1_BASE + 0x0c)
USART1_CR2 EQU (USART1_BASE + 0x10)
USART1_CR3 EQU (USART1_BASE + 0x14)
USART1_GTPR EQU (USART1_BASE + 0x18)

;NVIC寄存器地址
NVIC_BASE EQU 0xE000E000
NVIC_SETEN EQU (NVIC_BASE + 0x0010)
;SETENA寄存器阵列的起始地址
NVIC_IRQPRI EQU (NVIC_BASE + 0x0400)
;中断优先级寄存器阵列的起始地址
NVIC_VECTTBL EQU (NVIC_BASE + 0x0D08)
;向量表偏移寄存器的地址
NVIC_AIRCR EQU (NVIC_BASE + 0x0D0C)
;应用程序中断及复位控制寄存器的地址
SETENA0 EQU 0xE000E100
SETENA1 EQU 0xE000E104

;SysTick寄存器地址
SysTick_BASE EQU 0xE000E010
SYSTICKCSR EQU (SysTick_BASE + 0x00)
SYSTICKRVR EQU (SysTick_BASE + 0x04)

;FLASH缓冲寄存器地址映像
FLASH_ACR EQU 0x40022000

;SCB_BASE EQU (SCS_BASE + 0x0D00)

MSP_TOP EQU 0x20005000
;主堆栈起始值
PSP_TOP EQU 0x20004E00
;进程堆栈起始值

BitAlias_BASE EQU 0x22000000
;位带别名区起始地址
Flag1 EQU 0x20000200
b_flas EQU (BitAlias_BASE + (0x20032) + (04))
;位地址
b_05s EQU (BitAlias_BASE + (0x20032) + (14))
;位地址
DlyI EQU 0x20000204
DlyJ EQU 0x20000208
DlyK EQU 0x2000020C
SysTim EQU 0x20000210

;常数定义
Bit0 EQU 0x00000001
Bit1 EQU 0x00000002
Bit2 EQU 0x00000004
Bit3 EQU 0x00000008
Bit4 EQU 0x00000010
Bit5 EQU 0x00000020
Bit6 EQU 0x00000040
Bit7 EQU 0x00000080
Bit8 EQU 0x00000100
Bit9 EQU 0x00000200
Bit10 EQU 0x00000400
Bit11 EQU 0x00000800
Bit12 EQU 0x00001000
Bit13 EQU 0x00002000
Bit14 EQU 0x00004000
Bit15 EQU 0x00008000
Bit16 EQU 0x00010000
Bit17 EQU 0x00020000
Bit18 EQU 0x00040000
Bit19 EQU 0x00080000
Bit20 EQU 0x00100000
Bit21 EQU 0x00200000
Bit22 EQU 0x00400000
Bit23 EQU 0x00800000
Bit24 EQU 0x01000000
Bit25 EQU 0x02000000
Bit26 EQU 0x04000000
Bit27 EQU 0x08000000
Bit28 EQU 0x10000000
Bit29 EQU 0x20000000
Bit30 EQU 0x40000000
Bit31 EQU 0x80000000

;向量表
AREA RESET, DATA, READONLY
DCD MSP_TOP ;初始化主堆栈
DCD Start ;复位向量
DCD NMI_Handler ;NMI Handler
DCD HardFault_Handler ;Hard Fault Handler
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD 0
DCD SysTick_Handler ;SysTick Handler
SPACE 20 ;预留空间20字节

;代码段
AREA |.text|, CODE, READONLY
;主程序开始
ENTRY
;指示程序从这里开始执行
Start
;时钟系统设置
ldr r0, =RCC_CR
ldr r1, [r0]
orr r1, #Bit16
str r1, [r0]
;开启外部晶振使能
;启动外部8M晶振

ClkOk
ldr r1, [r0]
ands r1, #Bit17
beq ClkOk
;等待外部晶振就绪
ldr r1,[r0]
orr r1,#Bit17
str r1,[r0]
;FLASH缓冲器
ldr r0, =FLASH_ACR
mov r1, #0x00000032
str r1, [r0]

;设置PLL锁相环倍率为7,HSE输入不分频 
ldr    r0, =RCC_CFGR 
ldr    r1, [r0] 
orr    r1, #(Bit18 :OR: Bit19 :OR: Bit20 :OR: Bit16 :OR: Bit14) 
orr    r1, #Bit10 
str    r1, [r0] 
;启动PLL锁相环 
ldr    r0, =RCC_CR 
ldr    r1, [r0] 
orr    r1, #Bit24 
str    r1, [r0] 

PllOk
ldr r1, [r0]
ands r1, #Bit25
beq PllOk
;选择PLL时钟作为系统时钟
ldr r0, =RCC_CFGR
ldr r1, [r0]
orr r1, #(Bit18 :OR: Bit19 :OR: Bit20 :OR: Bit16 :OR: Bit14)
orr r1, #Bit10
orr r1, #Bit1
str r1, [r0]
;其它RCC相关设置
ldr r0, =RCC_APB2ENR
mov r1, #(Bit14 :OR: Bit4 :OR: Bit2)
str r1, [r0]

;IO端口设置 
ldr    r0, =GPIOC_CRL 
ldr    r1, [r0] 
orr    r1, #(Bit28 :OR: Bit29)          
;PC.7输出模式,最大速度50MHz  
and    r1, #(~Bit30 & ~Bit31)   
;PC.7通用推挽输出模式 
str    r1, [r0] 
        
;PA9串口0发射脚 
ldr    r0, =GPIOA_CRH 
ldr    r1, [r0] 
orr    r1, #(Bit4 :OR: Bit5)          
;PA.9输出模式,最大速度50MHz  
orr    r1, #Bit7 
and    r1, #~Bit6 
;10:复用功能推挽输出模式 
str    r1, [r0]    


ldr    r0, =USART1_BRR   
mov    r1, #0x271 
str    r1, [r0] 
;配置波特率-> 115200 
               
ldr    r0, =USART1_CR1   
mov    r1, #0x200c 
str    r1, [r0] 
;USART模块总使能 发送与接收使能 
;71 02 00 00   2c 20 00 00 
         
;AFIO 参数设置             
;Systick 参数设置 
ldr    r0, =SYSTICKRVR           
;Systick装初值 
mov    r1, #9000 
str    r1, [r0] 
ldr    r0, =SYSTICKCSR           
;设定,启动Systick 
mov    r1, #0x03 
str    r1, [r0] 
        
;NVIC                     
;ldr   r0, =SETENA0 
;mov   r1, 0x00800000 
;str   r1, [r0] 
;ldr   r0, =SETENA1 
;mov   r1, #0x00000100 
;str   r1, [r0] 
          
;切换成用户级线程序模式 
ldr    r0, =PSP_TOP                   
;初始化线程堆栈 
msr    psp, r0 
mov    r0, #3 
msr    control, r0 
          
;初始化SRAM寄存器 
mov    r1, #0 
ldr    r0, =Flag1 
str    r1, [r0] 
ldr    r0, =DlyI 
str    r1, [r0] 
ldr    r0, =DlyJ 
str    r1, [r0] 
ldr    r0, =DlyK 
str    r1, [r0] 
ldr    r0, =SysTim 
str    r1, [r0] 

;主循环
main
ldr r0, =Flag1
ldr r1, [r0]
tst r1, #Bit1
;SysTick产生0.5s,置位bit 1
beq main ;0.5s标志还没有置位

;0.5s标志已经置位 
ldr    r0, =b_05s                
;位带操作清零0.5s标志 
mov    r1, #0 
str    r1, [r0] 
bl     LedFlas 


mov    r0, #'H' 
bl     send_a_char

mov    r0, #'e' 
bl     send_a_char

mov    r0, #'l' 
bl     send_a_char

mov    r0, #'l' 
bl     send_a_char

mov    r0, #'o' 
bl     send_a_char

mov    r0, #' ' 
bl     send_a_char

mov    r0, #'w' 
bl     send_a_char

mov    r0, #'o' 
bl     send_a_char

mov    r0, #'r' 
bl     send_a_char

mov    r0, #'l' 
bl     send_a_char

mov    r0, #'d' 
bl     send_a_char

mov    r0, #'\n' 
bl     send_a_char

b      main

;子程序 串口1发送一个字符
send_a_char
push {r0 - r3}
ldr r2, =USART1_DR
str r0, [r2]
b1
ldr r2, =USART1_SR
ldr r2, [r2]
tst r2, #0x40
beq b1
;发送完成(Transmission complete)等待
pop {r0 - r3}
bx lr

;子程序 led闪烁
LedFlas
push {r0 - r3}
ldr r0, =Flag1
ldr r1, [r0]
tst r1, #Bit0
;bit0 闪烁标志位
beq ONLED ;为0 打开led灯
;为1 关闭led灯
ldr r0, =b_flas
mov r1, #0
str r1, [r0]
;闪烁标志位置为0,下一状态为打开灯
;PC.7输出0
ldr r0, =GPIOC_BRR
ldr r1, [r0]
orr r1, #Bit7
str r1, [r0]
b LedEx
ONLED
;为0 打开led灯
ldr r0, =b_flas
mov r1, #1
str r1, [r0]
;闪烁标志位置为1,下一状态为关闭灯
;PC.7输出1
ldr r0, =GPIOC_BSRR
ldr r1, [r0]
orr r1, #Bit7
str r1, [r0]
LedEx
pop {r0 - r3}
bx lr

;异常程序
NMI_Handler
bx lr

HardFault_Handler
bx lr

SysTick_Handler
ldr r0, =SysTim
ldr r1, [r0]
add r1, #1
str r1, [r0]
cmp r1, #500
bcc TickExit
mov r1, #0
str r1, [r0]
ldr r0, =b_05s
;大于等于500次 清零时钟滴答计数器 设置0.5s标志位
;位带操作置1
mov r1, #1
str r1, [r0]
TickExit
bx lr

ALIGN            
;通过用零或空指令NOP填充,来使当前位置与一个指定的边界对齐 
END

二、结果显示
在这里插入图片描述
然后下载程序到 STM32 中,并打开串口调试助手,结果如下:

在这里插入图片描述
三. 在没有示波器条件下,可以使用Keil的软件仿真逻辑分析仪功能观察管脚的时序波形,更方便动态跟踪调试和定位代码故障点。 请用此功能观察第1题中3个GPIO端口的输出波形,和第2题中串口输出波形,并分析时序状态正确与否,高低电平转换周期(LED闪烁周期)实际为多少。
一、keil观察3个GPIO端口的输出波形

1、仿真设置

在使用仿真时,需要先进行Debug模式设置。

点击魔法棒 进入debug界面经行修改Dialog.DLL下改为DARMSTM.DLL,Parameter下改为-pSTM32F103RC(你所希望使用芯片)
在这里插入图片描述
配置好后,点击Debug

2.使用逻辑分析仪
设置完成后,开启调试模式,打开逻辑分析仪
在这里插入图片描述
在这里插入图片描述
点击左上角setup,弹出对话框,添加需要查看的波形的的引脚,点击右上角的添加输入

输入GPIOx_IDR.y 回车 信号输出类型选择bit

x为选择的GPIO口号

y为选择的引脚号
在这里插入图片描述
设置好之后,逻辑分析仪左侧会出现刚才设置的三个IO口,点击运行

执行一段时间后,点击停止按钮查看波形
在这里插入图片描述
波形如下
在这里插入图片描述
观察波形可知代码正确

二、观察串口通信
调试部分跟上面一样 我们直接看波形
在这里插入图片描述
结果并未观察到波形 查询可知IO 口复用的,信号在逻辑分析窗口是不能显示出来的(看不到波形)。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值