第11周-HLS入门实践

本文介绍了HLS(High-Level Synthesis)技术,它将C/C++转换为VHDL或Verilog,用于FPGA和ASIC设计。HLS提高了设计效率,但与传统VHDL/Verilog编程相比,具有优化问题、精度问题和时序约束等挑战。文章还指导如何在Win10或Ubuntu下安装Xilinx的Vivado 2018.3并创建一个简单的HLS工程,实现LED灯控制。
摘要由CSDN通过智能技术生成
  1. HLS是什么?与VHDL/Verilog编程技术有什么关系?
    一、HLS介绍
    HLS是什么:
    HLS(High-Level Synthesis)是一种硬件描述语言编程技术,它可以将高级语言(如C/C++)转换为硬件描述语言(如VHDL或Verilog),以便将其用于FPGA(Field-Programmable Gate Array)或ASIC(Application-Specific Integrated Circuit)的设计中。

HLS技术在FPGA和ASIC的设计中非常有用,因为它可以帮助设计人员通过高级语言编写硬件逻辑,从而提高设计效率和可重用性。HLS技术还可以提供比传统的手动编写硬件描述语言更高的抽象级别,使得设计人员可以更快地开发出功能复杂的硬件系统。

HLS与VHDL/Verilog编程技术有什么关系:
VHDL和Verilog是传统的硬件描述语言,用于手动编写硬件逻辑。与HLS技术相比,它们需要更多的时间和精力来编写和调试代码,但也提供了更高的灵活性和控制力。HLS技术和VHDL/Verilog编程技术可以结合使用,以便在设计过程中兼顾效率和灵活性。

HLS的关键技术
HLS(High-Level Synthesis)是一种复杂的技术,存在一些关键技术问题和技术局限性。以下是一些主要问题和限制:

高层次综合的优化问题:高层次综合包括多个优化阶段,如数据流分析、资源映射、调度和寄存器分配等。这些优化阶段的顺序和参数设置会对设计的性能、面积和功耗等方面产生影响,需要合理的算法和工具支持。
精度问题:由于高层次综合基于软件编程语言,因此需要考虑精度和舍入误差等问题。例如,浮点数运算会产生舍入误差,而整数运算可能会导致溢出或截断等问题。
时序约束问题:在设计硬件时,时序约束是非常重要的,因为它们可以确保电路的正确性和可靠性。高层次综合需要能够自动生成正确的时序约束,以确保设计的正确性。
可维护性和调试问题:由于高层次综合生成的硬件电路是自动生成的,因此很难进行调试和维护。开发人员需要有有效的工具和技术来检测和调试电路中的错误。
HLS的技术局限性

  1. HLS有哪些关键技术问题?目前存在什么技术局限性?
    支持的软件编程语言:目前,高层次综合支持的软件编程语言有限,大多数工具支持C/C++和SystemC等语言。因此,开发人员需要在这些语言中编写硬件描述。
    复杂性:高层次综合涉及多个优化阶段和复杂的算法,需要大量的计算资源和时间。因此,它对硬件层面的知识和经验要求较高。
    可移植性:高层次综合生成的电路可能会受到工具和目标平台的限制,因此需要进行定制化和优化。这可能会限制电路的可移植性和可重用性。
    成本问题:高层次综合需要使用专业的工具和硬件平台,这可能会增加开发成本和时间。

  2. 在win10(或者Ubuntu系统下)安装 Intel 或者 Xilinx 的支持HLS的FPGA编程开发软件(Quartus18或者Vivado18),设置好环境,完成一个入门级的HLS程序,并进行仿真或者实际开发板运行。
    1)Xilinx 2018.3相关软件工具

链接:https://pan.baidu.com/s/1jkurhTLgnxavgRnmi-JLDA

提取码:sygh

安装
解压Xilinx_Vivado_SDK_2018.3_1207_2324.tar文件
在这里插入图片描述
在解压得到的文件中选择运行xsetup.exe文件

在这里插入图片描述勾选同意所有协议并点击next
在这里插入图片描述
检查配置是否与下面相同,下图中所示。而后点击next
在这里插入图片描述使用vivado创建HLS——点亮led灯
创建 HLS工程
点击桌面刚刚生成的快捷方式,运行Vivado HLS 2018.3

在这里插入图片描述

打开Vivado HLS 2018.3后,点击create new project进行新HLS工程的创建

在这里插入图片描述工程编写
添加工程文件并编写代码
添加.h头文件

在这里插入图片描述
编写.h头文件(led.h)

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_
 
#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100,100M时钟频率下计数一秒钟所需要的计数次数
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);
 
#endif

同样的方法添加led.cpp文件并编写以下内容:

#include "led.h"
 
void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_o
	cnt_t i;
	for(i=0;i<CNT_MAX;i++){
		if(i==FLASH_FLAG){
			*led_o = ~led_i;
		}
	}

右键点击Test Bench选择New File创建test_led.cpp文件并编写以下内容`

#include "led.h"
#include <stdio.h>
 
int main(){
 
	led_t led_i=0x01;
	led_t led_o;
	const int SHIFT_TIME = 4;
	int i;
	for(i=0;i<SHIFT_TIME;i++){
		flash_led(&led_o , led_i);
		led_i = led_o;
		printf("shift_out is %d \n",(int)(led_o&0x01));
	}
}
 总结
本次实验使用HLS工具来设计一个简单的数字电路,该电路可以控制FPGA板上的LED灯的点亮和熄灭。我们使用C语言来编写设计,然后将其转换为VHDL或Verilog硬件描述语言,以便在FPGA上实现。
在本次实验中,我们使用Xilinx Vivado HLS工具来进行设计和仿真。首先,我们创建了一个新的工程,并在其中编写了一个简单的C语言程序来控制LED灯的点亮和熄灭。我们使用HLS工具来将C代码转换为硬件描述语言,并对其进行优化和调试。然后,我们生成了一个IP核并将其添加到Vivado设计中,以便在FPGA上实现。

在实验中,我们学习了使用HLS工具进行数字电路设计的基本知识,包括如何使用C语言编写硬件描述、如何进行优化和调试,并最终将设计应用到FPGA中。我们还学习了如何使用Vivado HLS工具来生成IP核,并将其与Vivado设计集成在一起。

总体而言,本次实验使我们对HLS工具的使用和数字电路设计有了更深入的了解。通过实践,我们掌握了如何使用C语言来编写硬件描述、如何进行优化和调试、如何生成IP核、以及如何将设计应用到FPGA上。这些知识对于今后的数字电路设计和开发工作具有重要的参考价值

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值