在Quartus-II 用门电路设计一个D触发器,并进行仿真,时序波形验证

本文详细介绍了如何在Quartus-II环境中,通过门电路、方框文件和Verilog语言设计D触发器,并进行时序仿真验证。从新建工程到编辑波形,再到编译和查看电路图,每一步都提供了清晰的操作指南。
摘要由CSDN通过智能技术生成

一.设计D触发器,进行仿真验证
1.新建工程
点击file->New Project Wizard…
在这里插入图片描述
2、创建原理图
点击file->New->Block Diagram/Schematic File
在这里插入图片描述
输入nand2,右边会出现对应的两输入的与非门,依次添加4个与门nand2和1个非门not
在这里插入图片描述
通过工具栏的输入输出工具,以及连线工具设计出如下图
在这里插入图片描述
保存电路图

启动分析与综合,编译原理图文件
在这里插入图片描述
3、创建vwm格式波形文件
在这里插入图片描述

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值