基于FPGA的超声波测距

该文详细介绍了如何使用DE2-115FPGA开发板,结合Quartus和Modelsim工具,设计并实现HC_SR04超声波测距模块。通过Verilog代码实现了触发信号生成、回声时间计算以及距离数据显示在数码管上。还包含了一个简单的中值滤波器以减小测量误差。
摘要由CSDN通过智能技术生成
  1. 实验平台与目的
    DE2-E115 FPGA开发板 + Quartus + Modelsim
    学习并掌握HC_SR04模块的使用

  2. 实验要求
    使用 DE2-115 开发板驱动 超声波检测模块(HC_SR04 ),并将所测得数据显示到开发板上的数码管上。

  3. 实验原理
    3.1理论原理
    超声波原理:
    HC-SR04超声波测距模块可提供 2cm-400cm的非接触式距离感测功能,测距精度可达高到 3mm;模块包括超声波发射器、接收器与控制电路。图1为HC-SR04外观,其基本工作原理为给予此超声波测距模块触发信号后模块发射超声波,当超声波投射到物体而反射回来时,模块输出回响信号,以触发信号和回响信号间的时间差,来判定物体的距离。

3.2. 硬件模块时序图
在这里插入图片描述
4.设计文件`//产生1us时钟信号
module clk_div(
input wire clk ,
input wire rst_n ,
output wire clk_in

);

parameter cnt_1us = 19’d50;

reg [5:0] cnt_r;
always @(posedge clk or negedge rst_n) begin
if (!rst_n) begin
cnt_r <= 1’b0;
end
else if (cnt_r <= cnt_1us - 1’b1) begin
cnt_r <= cnt_r + 1’b1;
end
else begin
cnt_r <= 1’b0;
end
end

assign clk_in = cnt_r;
endmodule
`

//200ms一周其前10us产生高脉冲tirg信号
module vlg_trig(
    input   wire                clk_on,
    input   wire                rst_n,
    output  wire                tirg
);

parameter CYCLE_MAX = 19'd200_000;
reg     [18:0] cnt_r;
always @(posedge clk_on or negedge rst_n) begin
    if (!rst_n) begin
        cnt_r <= 1'b0;
    end
    else if (cnt_r <= CYCLE_MAX - 1'b1) begin
        cnt_r <= cnt_r + 1'b1;
    end
    else begin
        cnt_r <= 1'b0;
    end
end

assign tirg = cnt_r < 10 ? 1'b1 : 1'b0;
endmodule

```python
//超声波测距模块
module vlg_echo(
    input   wire            clk,
    input   wire            clk_on,
    input   wire            rst_n,
    input   wire            echo,
    output  wire    [31:0]  data
);

parameter   T_MAX   =   16'd60_000;//510cm

reg             r1_echo,r2_echo;
wire            echo_pos,echo_neg;
reg     [15:0]  r_cnt;
reg     [31:0]  data_r;

//边缘检测
always @(posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        r1_echo <= 1'b0;
        r2_echo <= 1'b0;
    end
    else begin
        r1_echo <= echo;
        r2_echo <= r1_echo;
    end
end

assign  echo_neg = ~r1_echo & r2_echo;//下降沿检测

//echo电平检测
always @(posedge clk_on or negedge rst_n) begin
    if (!rst_n) begin
        r_cnt <= 1'b0;
    end
    else if (echo) begin
        if (r_cnt >= T_MAX - 1'b1) begin
            r_cnt 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值