三层交换(VLAN间路由)

VLAN
1、糟糕的网络设计的问题
(1)巨大的广播域
(2)管理和支持收到挑战
(3)可能的安全隐患
2、VLAN概念
VLAN—Virtual Lan 虚拟局域网
同一个交换机的不同VLAN不能相互通信,不同交换机的相同VLAN可以相互通信的。
3、VLAN运作
在这里插入图片描述

每个逻辑的VLAN就象一个独立的物理桥
交换机上的每一个端口都可以分配给不同的VLAN
默认的情况下,所有的端口都属于VLAN1(公有)
在这里插入图片描述

VLAN 可以跨越多台交换机
Trunk 可以承载多个VLAN的数据
Trunk 使用特殊的封装区分不同的VLAN
VLAN干道:对于多个VLAN交换机来说,VLAN干道就是两个交换机之间的连接,它在两个或两个以上的VLAN之间传输通信。每个交换机必须确定它所受到的帧属于哪个VLAN。
一个交换机的任何端口都必须属于且只能属于一个VLAN,但当端口配置成trunk干道后,该端口就失去了它自身的VLAN标识,可以为该交换机内的所有VLAN传输数据。

对于多个交换机之间的通信,Vlan发出的数据包在原交换机trunk接口打上vlan标记,在目的交换机接口发给相应的vlan之前去掉vlan标记,因为这个标记只有trunk能够识别
交换机对帧进行VLAN标记有两种协议:ISL(思科私有的)和dot1q(国际公有的)
Dot1标记比ISL标记小
1、默认VLAN1 所有的接口都属于vlan1
2、交换机能支持4096个vlan
3、Vlan范围0-4095
0和4095不能用
Vlan1, vlan1002,vlan3,vlan4,vlan5 交换机初始就有的vlan
Vlan1能用,其他四个vlan不能用,保留
1-1001 标准vlan
1006-4094 扩展vlan,cisco 3560以上的型号才支持,vtp协议的transparent模式可用
4、创建vlan
#vlan database
#vlan 10 name vlan10
#exit

#config t
#vlan 2
#name vlan2
#exit
#show vlan brief
(conf)#interface f0/0
(conf-if)#switchport mode access 把接口配置为access接口
(conf-if)#witchport access vlan 10 划分到vlan 10
(conf)#interface f0/3
(conf)#switchport mode trunk
(conf)#show interfaces trunk
802.1q协议里面有一种特殊的VLAN,叫本征VLAN,本征VLAN是基于当前的trunk接口来说的,默认是vlan1,本征vlan的数据经过trunk接口发送到另一台设备的时候,不打vlan标记,它会发往另一台设备trunk接口所认为的本征vlan,即使vlan号不同。
(config-if)#switchport trunk native vlan 1 //将本征VLAN改回VLAN 1
(confg)#interface port-channel 1 创建以太网通道
(config)#interface range f0/23 -24
(config-if)channel-group 1 mode on

单臂路由
使用路由接口的子接口
R1(conf)#interface f0/0
R1(conf-if)#no sh
R1(conf-if)#interface f0/0.1)
路由器子接口需要配置封装协议和接的vlan
R1(conf-if)#encapsulate dot1q 2
R1(conf-if)#ip add 192.168.2.1 255.255.255.0
交换机接路由器的接口,打trunk接口
Sw(conf-if)#switchport mode trunk

三层交换

在三层交换机上创建VLAN的时候,同时创建了VLAN接口
Switch virtual interfaces(SVI)  
VLAN接口(三层虚拟接口)
Interface vlan 10 或20

在这里插入图片描述

三层交换机的端口模式
二层接口(switchport):access模式、trunk模式
三层接口:路由接口(no switchport或称为routed port)、SVI接口
开启三层交换机的路由功能
#ip routing

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值