xilinx vivado 2019 cordic ip 计算sin cos

目录

一、Cordic IP简介

二、Sin 和 Cos

三、Example重点解释


一、Cordic IP简介

VIVADO中,cordic是一个实现通用坐标旋转计算的IP核,在进行数字信号处理时常常会用到,算法主要是通过迭代来解三角方程,不过这些原理的东西我们做FPGA实现的不需要掌握它的原理,重点是怎么用。

cordic核主要功能包括:

  1. rotate 旋转 ——复数旋转
  2. translate 变换 ——复数转极坐标
  3. sin/cos
  4. arctan
  5. sinh/cosh
  6. arc tanh
  7. square root 平方根

我这里介绍sin和cos,其他的学习方法都一样。

二、Sin 和 Cos

下面是pg105文档对sin和cos使用的说明,讲的非常到位,能看懂英文的看,看不懂的看第三节。

三、Example重点解释

(1)当选择Sin和Cos函数配置时,使用CORDIC算法通过输入角旋转单位向量(这里所说的就是弧度【角度/180*PI】)。 这将生成输Cordic IP将为我们输出(Cos(\theta),Sin(\theta))的值。

(2)角度的输入范围为-PI-+PI,从S_AXIS_PHASE总线输入,输入规范为2QN格式,也就是3bit的有符号整数和其他的小数位组成,比如11位,则其他小数位8位,如果是负数,则整数和小数按照补码的形式走。如下:

“01100100100” => 011.00100100 => +3.14      // 3.36 => 3.(36/256) = 3.14     
“10011011100” => 100.11011100 => - 3.14      //  -(7-4).(256 - 220) => -3.36 => -3.(36/256) = -3.14

(3)X_OUT和Y_OUT输出余弦和正弦,从M_AXIS_DOUT输出。输出规范为1QN格式,也就是2bit的有符号整数和其他的小数位组成,比如10位,则其他小数位8位,如果是负数,则整数和小数按照补码的形式走。如下:

“0100000000” => 01.00000000 => +1.0         // 1.0    
“1100000000” => 11.00000000 => - 1.0        //  -(3-3).(256-0) => -0.256 = -0.(256/256) = -1.0

(4)例如孤度输入为0.781,计算器输出的sin(0.781/PI*180) = 0.703,和上面的结果一样,如下图:

   


1.本文部分素材来源网络,版权归原作者所有,如涉及作品版权问题,请与我联系删除;

2.未经原作者允许不得转载本文内容,否则将视为侵权;

3.转载或者引用本文内容请注明来源及原作者;

4.对于不遵守此声明或者其他违法使用本文内容者,本人依法保留追究权等。

下面是我的个人微信公众号,关注【一个早起的程序员】精彩系列文章每天不断。

  • 10
    点赞
  • 81
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一个早起的程序员

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值