基于FPGA的WS2812

一、产品概述

        WS2812B是一种常见的 RGB LED 灯带,每个灯珠内部都有一个芯片控制,通过发送特定的时序数据来控 制其亮灭。
         其数据协议采用单线归零码的通讯方式 ,像素点在上电复位以后,DIN端接受从控制器传输过来的数据,首先送过来的24bit数据被第一个像素点提取后,送到像素点内部的数据锁存器,剩余的数据经过内部整形处理电路整形放大后通过DO端口开始转发输出给下一个级联的像素点, 每经过一个像素点的传输,信号减少24bit ; 像素点采用自动整形转发技术,使得该像素点的级联个数不受信号传送的限制,仅受限信号传输速度要求;高达 2KHz的端口扫描频率,在高清摄像头的捕捉下都不会出现闪烁现象,非常适合高速移动产品的使用: 280us以上的RESET时间 ,出现中断也不会引起误复位,可以支持更低频率、价格便宜的MCU: LED具有低电压驱动、环保节能、亮度高、散射角度大、一致性好、超低功率及超长寿命等优点。
由产品概述可以得到的重要信息有:
1、该灯源数据协议采用单线归零码的通讯方式。一个像素点需要24bit数据才能正常工作 (该灯板共有8x8 64个像素点);
2、复位时间需要至少280us;
3、传输数据每经过一个像素点便会被锁存24bit数据,因此数据会逐级减少。

二、码型以及24bit数据设计

复位码:意思是把前面的数据清空,才能继续输送新的数据。如果不清空上一次存入的数据,下次的数据没办法进入。

三、系统设计

1、系统框架图(整体):

说明:在设计本项目时,将模块划分为:顶层模块、控制模块、驱动模块

在控制模块中设计不同数据的输入(提供64个RGB像素数据,给到ws2812

在驱动模块中设计码型以及输入数据的拼接及输出(实现单总线协议)

在顶层模块仅进行逻辑连线。

FIFO→ws2812b_driver:由于时钟数据采用频率为50MHz,而该光源发送速率只有800Kbps,传入数据的速度远大于传出数据的速度,因此调用了一个FIFO核,用来临时存储传入驱动模块的数据,避免造成数据丢失。
2、模块分析
2.1 驱动模块
在此模块使用FIFO对数据进行缓存;

在驱动模块中,主要考虑的是64个24bit数据的传输。(像素为8*8的照片)

由手册可知,每24bit数据传输间隔中我们需要至少280us的复位,复位结束才能传输下一个24bit数据,因此我们可以设计一个状态机实现数据传输与复位的状态切换。

同时我们可以设计一个ready使能信号,将该信号传输给控制模块,该信号拉高后代表驱动模块处于空闲状态,可以进入复位状态。

同时我们可以在控制模块设计一个data_vld信号,将该信号输入驱动模块,该信号拉高驱动模块应该立即进入复位状态,并在复位结束后即刻准备接受数据。

再由手册的分析可知,在该模块我们至少需要四个计数器,分别为:

(1)24bit数据计数器,计数从控制模块传来的数据量。

(2)64个像素点计数器,该灯板共有8×8,64个像素点,每个像素点均需要24bit数据。

(3)一个bit持续时间计数器,由于该光源1码和0码要求时间可以不同,因此我们需要取一个能同时满足0码和1码的值作为一个bit的传输时间。本设计一个bit所需传输时间设置为1200ns(既能满足0码(220ns-380ns的高电平时间以及580ns-1us的低电平时间)也能满足1码高低电平时间(均为580ns-1us))。

(4)复位时间计数器,至少为280us,本设计为400us

注意,由于该光源数据格式为GRB,因此我们还需要在驱动模块对传入的RGB数据重新进行拼接。

此模块状态机如下:

其中,pix_data_vld为像素数据有效信号,表示只要数据有效就进入复位状态;end_rst_cnt为复位计数器结束信号,表示只要复位结束了就又开始传输数据;end_num_cnt为64个像素点计数结束信号。

2.2数据控制模块

在该模块仅为所需数据的存储以及如何传入驱动模块。在调用ROM时需要设计MIF文件初始化ROM。

为了存储我们设计好的数据,在该模块调用了一个ROM IP核。

同时在该模块设计了X,Y两个计数器,计数最大值均为8。将X,Y作为光源像素点的坐标从而确定ROM中所要取得的数据。

由于mif文件是一行一行保存数据,因此在显示每一帧像素时需要参考该公式:cnt_x +cnt_y+cnt_offset,cnt_offset为每一帧的偏移量,计数从0-23。

四、程序:

功能代码:(静态显示)

顶层模块:

控制模块:

驱动模块:

这里需要注意是:fifo_er_data并接数据时,前两个顺序调换了一下是因为,传过来的是GRB,而我们要显示RGB。

测试代码:

波形分析,动态显示;结果,暂时没放。

FPGA驱动WS2812B的原理与一般的WS2812B驱动原理基本相同,但在FPGA中实现时需要考虑一些特殊的细节。下面是FPGA驱动WS2812B的基本原理: 1. 数据传输:与一般的WS2812B驱动相同,FPGA使用单线传输数据信号。数据传输的时序是基于时间间隔的,每个时间间隔被分割成若干个时钟周期。 2. 时钟生成:FPGA中需要生成适合WS2812B通信协议的时钟信号。这可以通过FPGA内部的时钟模块或者外部的时钟源来实现。时钟信号的频率需要根据WS2812B的时序要求进行设定。 3. 数据生成:FPGA中需要生成符合WS2812B通信协议的数据信号。可以使用FPGA内部的逻辑模块编写逻辑代码,通过逻辑运算生成数据信号。这些数据信号需要满足每个位的高电平和低电平时间要求。 4. 时序要求:与一般的WS2812B驱动相同,FPGA驱动WS2812B也需要满足严格的时序要求。每个位的高电平和低电平时间间隔需要在一定范围内。在FPGA中,需要根据时钟信号和数据生成逻辑来确保时序的准确性。 5. 数据格式:与一般的WS2812B驱动相同,FPGA驱动WS2812B也需要采用GRB(绿-红-蓝)的数据格式。通过逻辑代码生成对应的数据格式,以控制WS2812B的亮度和颜色。 总之,FPGA驱动WS2812B的原理与一般的WS2812B驱动类似,主要通过时钟信号和数据信号来控制WS2812B的亮度和颜色。在FPGA中,需要生成适合WS2812B协议的时钟和数据信号,并满足严格的时序要求。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值