modelsim编译正确,但是仿真波形无输出

我遇到的问题是:
对span6板子的流水灯demo文件进行测试,在modelsim上可以编译,并且无错误,无警告,但是仿真没有波形。
原因:因为我们的testbench文件即顶层文件调用了测试文件,但是testbench文件却没有任何文件调用。在运行modelsim仿真时候,普通的仿真模式自带优化,把我们的顶层文件给优化掉了。
解决方法:
点击simulate->start simulate,选择work->testbench文件,去掉enable optimization选项。点击ok即可。
在这里插入图片描述

评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值