vhdl变量除法_VHDL浮点除法FPGA

该博客介绍了一个使用VHDL编写的浮点除法器的实现,通过一个50除以30得到1.66的例子展示了其工作原理。代码包括了实体定义和行为架构,涉及到了计数器和多位数字的处理。
摘要由CSDN通过智能技术生成

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date:

09:17:23 01/23/2014

-- Design Name:

-- Module Name:

divider - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

50

除以

30

1.66

为例,可直接编译运行

----------------------------------------------------------------------------------

library IEEE ;

use IEEE. STD_LOGIC_1164. ALL ;

use IEEE. STD_LOGIC_ARITH. ALL ;

use IEEE. STD_LOGIC_UNSIGNED. ALL ;

entity divider_test is

Port (clk ,reset :in std_logic ;

datain1 ,datain2 :STD_LOGIC_VECTOR (11 downto 0);

data_out1 ,data_out2 ,data_out3 ,data_out4 ,

data_out5 ,data_out6 : STD_LOGIC_VECTOR (3 downto 0)) ;

end divider_test ;

architecture Behavioral of divider_test is

type state is ( start ,jian , thousand , hundred , ten , gewei ,shifen ,baifen) ;

signal current : state ;

begin

process (clk)

variable cnt_jian ,data1,data2:integer range 0 to 10000 ;

variable data_th ,data_hu ,data_ten ,data_ge , data_shifen , data_baifen :integer range 0 to 9 ;

begin

if reset ='0' then current <= start;

cnt_jian := 0 ;

data_th := 0 ;

data_hu := 0 ;

data_ten := 0 ;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值