简单的eda实验vga在linux系统中,EDA实验报告-VGA彩条显示.doc

VGA彩条信号显示控制

一、实验目的:

1. 熟练掌握 Verilog HDL语言和QuartusII 软件的使用;

2. 理解状态机的工作原理和设计方法;

3. 熟悉 VGA 接口协议规范。

4.通过对VGA接口的显示控制设计,理解VGA接口的时序工作原理,掌握通过计数器产生时序控制信号的方法以及用MEGEFUNCTION制作锁相环的方法。

实验原理

显示控制原理

常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。

2、VGA时序信号

计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B 三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT 显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。设计 VGA 控制器的关键是产生符合VGA 接口协议规定的行同步和场同步信号,它们的时序关系如下图所示:

h_sync:水平同步信号(负脉冲),每个水平扫描周期显示器刷新一行;

v_sync:垂直同步信号(负脉冲),每个垂直扫描周期显示器刷新一帧;

行同步信号(HS)场同步信号(VS)时序名称时钟数(像素数)时序名称行数前沿16前沿10行同步96场同步2数据640数据480后沿48后沿33总像素数800总行数525

按照每秒60帧的刷新速度来计算,所需要的时钟频率为:

频率=60Hz(帧数)×525(行)×800(每一行像素数)=25.2MHz

所以我们通过开发系统的50MHz时钟资源,通过时钟分频产生25MHz的频率即可。虽然没有达到精确的25.2MHz的时钟频率(刷新率可能会是59Hz),但是并不会造成影响。

3、VGA显示器的工作过程

以屏幕左上角的那个像素作为原点(1,1)。当显示器接收到控制器输出的v_sync信号,则开始一个新的垂直刷新循环,同时控制器输出h_sync信号。当经过P+Q=1.084ms的时间后,准备开始水平刷新循环,当h_sync信号的下降沿到来时,即开始刷新第一行(行数加1)。再经过B+C = 5.66?s的时间后,开始刷新第一行的第一个像素(列数加1),并按照所需的时钟频率,刷新此行中其余像素。直到显示器接收到下一个h_sync信号,又开始刷新第二行。

重复此过程,直到刷新到屏幕的底部。当刷新了最下面一行的最后一个像素后,显示器即完成了一帧的刷新,控制器又输出v_sync信号,显示器又开始一个新的垂直刷新循环。

实验内容:

输入源程序;

2.全程编译;

3.时序仿真;

引脚锁定;

5.编译文件下载;

四、最终硬件测试结果:

实验体会:

通过对EDA课程的学习,我对电路的软件和硬件方面的知识有了进一步的了解,至少对于QuartusII经历了由陌生到熟悉应用的过程,这次VGA实验是我本科到现在做过的最有意思的实验之一。刚开始实验时,简单地认为实验是简单而愉快的。但在一次上课时老师的一句幽默“我很欣慰,果然没有一个人理解了状态机的设计”让我认识到自己的浅薄与无知。状态机和系统的设计当时在我的脑海里就是一团乱麻,“剪不断,理还乱”。这都什么跟什么啊,我突然意识到我是不是跟我的学科脱节啦,所以,我决定在本科的有限时间里多动手实验、学习,努力去发现自己的不足,于是我申请进了学院的实验室学习,感觉这门课对我的影响还是恨大的,张老师质朴的教学方式和把我们当成自己孩子的教诲,让我很是欣慰。在这次课程以前从来没有了解过VGA的显示原理和工作模式,刚开始学习的时候觉得非常茫然,不知道从何处下手。在老师的引导下,我按照实验的整体过程,先看了课本,了解了VGA显示和时序控制的相关原理。于是明白这次实验设计的重点就是VGA时序控制模块的设计。再仔细分析时序关系,画出状态转移图,同步区、显示区、消隐区时计数器的数值,便可很方便的编出程序。虽然在实验中也遇到了各种各样的问题,但最终都一一解决,也更让我体会到实验中的乐趣。同时,通过VGA显示实验,我加深了对EDA技术的理解,初步掌握了QuartusII软件图形编辑的使用。我接触了使用VHDL编程的一些基本技术和方法,初步掌握QuartusII软件的使用方法、进一步熟悉了数字系统VHDL设计

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
EDA VGA彩条信号显示控制电路设计实验是一项关于视频信号处理和显示实验。在实验,我们需要设计一个电路,能够接收视频信号,并将其转换为VGA彩条显示。以下是该实验的设计流程。 首先,我们需要理解VGA(Video Graphics Array)的工作原理。VGA是一种视频传输标准,通常用于连接计算机和显示器。它使用模拟信号来传输图像数据,包括RGB三个颜色通道的信号和相应的同步信号。 接下来,我们可以开始设计EDA VGA彩条信号显示控制电路。首先,我们需要一个视频信号输入接口,该接口可以接收来自计算机或其他设备的视频信号。我们可以使用电位器或电容器来调整输入信号的电平和增益。 接着,我们需要进行视频信号的处理。这包括信号放大、滤波、去噪等步骤,以确保信号的质量和稳定性。我们可以使用运算放大器、滤波器和其他电子元件来完成这些处理步骤。 然后,我们需要将处理后的视频信号转换为VGA彩条显示。这需要将处理后的信号与VGA的同步信号进行合并,并将其转换为VGA接口所需的模拟信号。我们可以使用逻辑门、多路复用器和数字模拟转换器等电子元件来完成这一步骤。 最后,我们需要设计一个控制电路,能够控制VGA彩条显示效果。我们可以使用微控制器或数字电路来实现这个功能。通过控制电路,我们可以调整彩条的颜色、亮度、对比度等参数,实现不同的显示效果。 在设计完成后,我们需要进行电路的实现和测试。通过连接电路和相应的设备,我们可以验证电路的工作情况和性能。 总结来说,EDA VGA彩条信号显示控制电路设计实验是一项涉及视频信号处理和显示实验。通过设计电路和相应的控制电路,我们可以实现将视频信号转换为VGA彩条显示,并通过控制电路调整显示效果。整个实验的设计流程包括视频信号的输入接口、信号的处理、VGA接口转换和显示控制等步骤。最终,我们需要对电路进行实现和测试,以验证其工作情况和性能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值