verilog 移位运算符 说明_Verilog学习----运算符、结构说明语句

1.运算符

逻辑运算符

&&//逻辑与、||//逻辑或、!//逻辑非;

&&、||为双目运算符,!为单目运算符;

逻辑运算符&&和||的优先级低于关系运算符,!高于算术运算符;

为了提高程序的可读性,明确表达各运算符之间的关系,建议使用括号;

关系运算符 /小于、>//大于、<=//小于或等于、>=//大于或等于;

进行关系运算时如果声明的关系是假的,则返回0;

所有的关系运算符有相同的优先级别,低于算术运算符的优先级别;

等式运算符

==//等于 逻辑等式运算符

!=//不等于 逻辑等式运算符

===//等于 两操作时必须完全一致时才为1(Z 、X)

!==//不等于

移位运算符

>>//右移运算符

</左移运算符

位拼接运算符

{信号1的某几位,信号2的某几位,……,信号N的某几位}

在位拼接表达式中不能存在没有指明位数的信号。

缩减运算符

是单目运算符,也有与、或、非运算。

运算过程第一步先把操作数的第一位与第二位进行或、与、非运算;

第二步把运算结果与第三位进行或、与、非,依次类推,直至最后一位。

运算符的优先级

&

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值