verdi使用linux命令,verdi工具的使用

debussy(verdi之前的Debug工具)

verdi:若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDumpfile $fsdbDumpvars来实现的。而这两个系统调用并不是Verilog中规定的,是Verdi以pli(Programming Language Interface)的方式实现的。这就需要让vcs编译时能找到相应的库。

例如:

acd94dfcea85ac34da60556eb8b4d286.png

其中波形文件有以下种类:

8f83a102b7d41299ab38d42d3feebfb7.png

生成FSDB波形

使用verdi之前,应配置哪些环境变量(bash使用export,cshell使用setenv)

$VERDI_HOME/NOVAS_HOME(为设置PATH做准备)

$PATH(让系统能够找到verdi)

$LD_LIBRARY_PATH(让系统linux能够找到verdi需要的库文件)

$LD_LIBRARY_PATH目录库文件类似于Windows的dll,包括*.so(共享对象),*.a(静态库)等,库文件还需要*.tab(表格文件)来获取对应的信息。

linux命令

  • 0
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值