Linux下(centos)使用verdi以及vcs简明教程

参考文章:

Linux下VCS与Verdi联合仿真简易教程及例子示范 - 灰信网(软件开发博客聚合)https://www.freesion.com/article/71471173457/这个文章的内容较为全面,但是有些许的不足,将发生的更改记录下来

1、编译选项

vcs -R -full64 +v2k -fsdb +define+FSDB -sverilog counter.v tb_counter.v timescale.v -l run.log

 注意事项:1、要加入-full64选项  2、源代码中要有$finish,不然verdi会卡住。

在进行上述操作的时候,如果出现以下问题

Top Level Modules:
       counter_tb
No TimeScale specified
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module counter_tb
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv    -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  -rdynamic  -Wl,-rpath=/usr/synopsys/vcs/O-2018.09-SP2/linux64/lib -L/usr/synopsys/vcs/O-2018.09-SP2/linux64/lib   objs/amcQw_d.o   _16329_archive_1.so  SIM_l.o       rmapats_mop.o rmapats.o rmar.o rmar_nd.o  rmar_llvm_0_1.o rmar_llvm_0_0.o          -lnuma -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs    -lvcsnew -lsimprofile -luclinative /usr/synopsys/vcs/O-2018.09-SP2/linux64/lib/vcs_tls.o   -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive        _vcs_pli_stub_.o   /usr/synopsys/vcs/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o /usr/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64/pli.a -ldl  -lc -lm -lpthread -ldl
ld: cannot find crtbegin.o: No such file or directory
make: *** [product_timestamp] Error 1
Make exited with status 2
CPU time: .529 seconds to compile + .408 seconds to elab + .550 seconds to link

则是由于centos的gcc版本问题,只要升级gcc版本即可

 2、查看时钟频率

 先选定区域,然后在view中找到signal event report,里面就有各种信息

3 显示资源消耗信息信息

结果如下所示

 

 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
要在CentOS上安装VCS,你可以按照以下步骤进行操作: 1. 首先,确保你的系统已经安装了CentOS 7操作系统。 2. 打开终端,使用以下命令安装必要的依赖库: ``` sudo yum install redhat-lsb.i686 sudo yum install libpng12 -y ``` 3. 接下来,你可以根据你提供的引用和引用中的教程来安装VCS。这些教程提供了详细的步骤和资源,你可以根据需要进行参考和操作。 注意:在安装过程中,确保你遵循教程上提供的步骤,并检查你的系统是否满足所需的配置和要求。 参考资料: - 引用: CentOS7安装VCS、Verdi、SCL_thompsonm的博客-CSDN博客 - 引用: 最近在centos上安装vcs真的是付出了很多时间,终于找到了适合的教程,自己也安装成功,现在把方法拿出来与大家分享。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [在linuxcentos平台下安装vcs教程](https://blog.csdn.net/youzjuer/article/details/121577601)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [UVM学习之路(1)— CentOS 7虚拟机下安装VCS开发环境](https://blog.csdn.net/qq_38113006/article/details/120803926)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

youzjuer

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值