双向端口的建模

     在设计中,为了减少管脚,在有些工业标准中的数据总线设计为复用的方式,既输入输出在物理上是同一个管脚,为了避免输入输出信号的冲突,双向端口采用了使能信号对输出进行控制。

    与三态端口相类似的,FPGA内部没有双向端口,内部信号均为单一流向,输入输出需要用单独的信号表示,不能复用在一起;只有对芯片接口的信号,才能定义为双向端口。

转载于:https://www.cnblogs.com/fpga-study/archive/2011/03/23/1992133.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值