双向端口设计

双向端口的VHDL程序如下:

library ieee;
use ieee.std_logic_1164.all;

entity in_out is
port(en    :in    std_logic;
     data  :in    std_logic;
     q     :inout std_logic;
     result:out   std_logic
     );
end in_out;

architecture behave of in_out is
begin
  process(en,data,q)
  begin
  if (en = '1') then
    q <= data;
  else
    q <= 'Z';
     result <= q;
  end if;
 end process;

end behave;

生成的RTL图如下:

结论:完整的if-else语句也会产生寄存器

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值