在ModelSim波形图中以参数名显示变量

ModelSim波形图中以参数名显示变量

在使用Verilog HDL编写有限状态机等逻辑的时候,状态机的各个状态通常以参数表示,但当使用ModelSim仿真的时候,状态机变量在wave窗口中以二进制编码的形式显示,例如:4’h0、4’h1等。这种显示形式不是很直观,但我们可以使用ModelSim提供的命令将状态机变量以“文本”形式的参数名显示,从而有利于调试。

假如一个状态机有如下的编码:

 

parameter  WAIT_INPUT1 = 2'b00,  // 状态机参数定义,表示4个状态

          DELAY1      = 2'b01,

          DELAY2      = 2'b10,

          WAIT_INPUT2 = 2'b11;

reg     [1:0]   current_state;

 

缺省情况下,状态机current_state在ModelSim的View窗口中以二进制编码的形式显示,如下图所示:

 

 

 

为了在View窗口中以参数名的形式显示current_state状态机,我们可以执行下面的步骤:

         首先使用ModelSim的virtual type命令定义一个新的枚举类型(FSM_TYPE):

 

virtual type {

    {

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值