modesim 仿真测试中传参数问题

关于传参数问题
第一种
在这里插入图片描述
工程中有两个模块分别为data_gen、decoder 。在两个模块中都用parameter定义了参数。
仿真时在顶层music_top_tb.v修改单个模块中参数的方式一定是使用实例化的名称用**.**访问并修改参数。访问顺序从顶层依次向下。
例子中:序号4是仿真测试文件,里面测试的模块为顶层模块。注意:
如果修改模块中的参数一定是"parameter" 不能为localparam。在外部模块中重新定义值时:用defparam。

第二种
在这里插入图片描述这种替换需要注意的是:访问参数的形式需要 . 参数传递与信号传递相同。#不能忘记,如果一个模块中有多个参数,参数之间用 ,【英文状态下】。
在这里插入图片描述
在仿真时定义的信号位宽要与被测试模块的位宽相同;如果仿真定义的信号位宽
大于
被测试信号实际位宽,不会有问题;如果仿真定义的信号位宽
小于**被测试信号实际位宽,仿真波形有问题,出现蓝色线即高阻态。一旦出现可以尝试检查。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值