modelsim读写TXT文件

 

         //open the file

Initial

Begin

step_file = $fopen("F:/Company/Src/txt/step.v","r");

      file_ekxdn =$fopen("F:/Company/Src/txt/ekxdn.v","w");

end

 

always #5 clk = !clk;

    //read data from file

    always @ ( posedge clk )//read from the file

begin

      if( cnt_test[2:0] == 0 )

                   begin

 

               $fscanf(step_file,"%d%d",ref01_i,ref01_q);

                      end

    end

 

    //write data to file

    wire signed[24:0]  ekxdn_i,ekxdn_q;

    always @( posedge clk )

    begin

      if( cnt_test[2:0] == 3'b111 )

        begin

     $fwrite(nx_coef_file,"%d%12d\n",nx01_coef_i,nx01_coef_q);

        end

end

读写文件的数据格式如下:

                       

 

以下点要注意:

1、可能由于加密的原因,读不了txt文件,只能读。V的了

2、打开文件的$fopen语句必须放在initial 中才可以

3、必须注意路径中斜杠的方向“/”

4、相对路径的设置(请参考积累中的“相对路径与绝对路径”)

5、如果读入的是有符号数,那么要把数据的类型定义为signed

 怎样关闭文件

读到文件结尾自动停止

txt文件格式如下

转载于:https://www.cnblogs.com/zhongguo135/p/5579451.html

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值