modelsim仿真wave中数据变量导出到txt文档

62 篇文章 11 订阅
8 篇文章 1 订阅

试过几种网上说的方法,就这个试通了。在verilog程序中添加相对应的代码即可。

reg [7:0] i =0;
always @ (posedge clk)
begin
	if (!rst_n)
		i <=0;
	else if (i<255)   
		i <= i+1;
	else
		i<= 255;
end
	

integer w_file;
    initial w_file = $fopen("data_out_1.txt");
    always @(i)
    begin
        $fdisplay(w_file,"%d",rom_data_I);
        if(i == 8'd254)    //共写入254个数据
            $stop;
    end    
需要说明,%d输出的是十进制数据, %h输出的是十六进制数据
如果,rom_data_I是 wire  signed[8:0]rom_data_I; 声明过的,定义为有符号数,则在txt文件中保存的就是有符号数,否则,默认为无符号数。

说明: 操作发现txt 一直没有数据,检查代码也没错,最后发现,是要把modelsim/vivado  自带仿真软件关闭以后,数据才能存入到 txt 文件里

  • 4
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 9
    评论
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值