uvm_reg 与 uvm_rgm

1. uvm_reg

寄存器类型是uvm_reg class,定义了寄存器的各个比特,包括名字,初始值,比特位大小,然后再在uvm_reg_block里面将各个寄存器例化,设置名字,地址等。

然后在env里面例化uvm_reg_block, 设置它的bus sequencer/adapter, 以及基地址和HDL hierarchy.

在test里面进行寄存器访问时,只需要利用uvm_reg_block,根据寄存器名字来进行读写操作。

2. uvm_rgm

寄存器类型是uvm_rgm_sized_register, 定义了寄存器的比特位,初始值,名字,然后再在uvm_rgm_register_file里面例化各个寄存器,设置名字,地址,然后在uvm_rgm_address_map中例化uvm_rgm_register_file, 再在uvm_rgm_rdb中例化uvm_rgm_address_map。

在env中例化uvm_rgm_rdb, 设置container, m_rgm_sequencer_h.set_container(m_rdb_h.map); 再连接rgm_master_sequencer_h m_rgm_sequencer_h,在rgm_master_sequencer_h中例化了adapter。在rgm_master_sequencer_h中定义了put_op(),其中调用adapter的excute_op(); 当m_rgm_sequencer_h中调用req_port.put()时,实际上就是调用rgm_master_sequencer_h.put_op(),从而调用adapter.excute_op()。

通过extend uvm_rgm_built_in_base_seq,然后get_reg_by_addr()来获得寄存器句柄(uvm_rgm_register_base),从而对该寄存器进行操作。

 

综合来看,uvm_reg方式更简便。

转载于:https://www.cnblogs.com/lybinger/p/9579423.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值