加快modelsim仿真速度的方法(原创)

本文分享了提高Modelsim仿真速度的一些技巧,包括选择合适的timescale、优化clock generation编码、减少层次结构、避免过度使用进程和门级原语、使用case语句代替if...else、减少begin...end语句块的使用、限制仿真输出以及利用增量编译、nodebug模式、vopt命令等工具和方法。这些策略旨在在保证代码质量的前提下,提高仿真和调试效率。
摘要由CSDN通过智能技术生成

①仿真精度越高,仿真效率月底。

仿真时采用`timescale 1ns/1ns比采用1ns/100ps的仿真效率高

simulation was two billion ns.

②clock generation coding tips

 

 

 

 

 

(from<A BFM Simulation Strategy for Verilog>)

②减少层次结构

在设计中层次结构越少,仿真速度越快,这是因为参数在module中通过端口传递会消耗仿真器的时间

③进程越少,仿真效率越高

代码中出现的进程越少,仿真越快。因为仿真器在多个进程之间切换也需要时间。

④减少门级原语的使用,尽量采用行为描述

建模的抽象层次越高,仿真效率越高。描述同样的功能尽

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值