FPGA基础学习(1) -- FFT IP核(Quartus)

为了突出重点,仅对I/O数据流为steaming的情况作简要说明,以便快速上手,有关FFT ip核模型及每种设置详细介绍请参考官方手册FFT MegaCore Function User Guide。

1 配置

在FFT Megacore Function中选择“parameterize”,弹出对话框。
“Parameters”栏中,选择器件、转换数据的长度、数据精度已经旋转因子的精度。注意旋转因子精度必须小于等于数据精度。
966190-20170619210408070-2127234341.jpg

“Architecture”栏中,有FFT引擎选择,在I/O数据流选择Streanming(流水线)的时候,引擎默认为1个四输出引擎。
img

“Implementation Options”栏中,Structure中选择乘法器和加法器组合,有4 Mults/2 Adders和3 Mults/5 Adders两种,本例选择前者。Implement Multiplier in代表实现FFT的逻辑资源分配形式,有DSP Blocks/Logic cells、DSP Blocks ONLY和Logic Cells ONLY三种,Logic cells顾名思义就是消耗FPGA的逻辑资源,而DSP Blocks则将一部分逻辑资源用Embedded Multiplier 9-bit elements表示。本例选择DSP Blocks/Logic cells混合模式。全局时钟和存储器选择采用默认方式。

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值