verilog学习(10)编写代码遇到错误

在学verilog期间遇到好多bug,现在才想起来记笔记,唉,我怎么就忘了呢。。

1:第七章练习,在顶层文件中例化子模块,vcs报错,说子模块没有定义,找了半天,才发现子模块缺少endmodule

  

2:include “filename.inc”;这里的filename.inc必须放在仿真文件里,即跟makefile同一层文件,而不是放在需要包含filename.inc文件同级目录下。

转载于:https://www.cnblogs.com/xh13dream/p/8992612.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值