verilog学习笔记(2)_一个小module及其tb

module-ex_cnt

module ex_cnt(
    input wire sclk,
    input wire rst_n,
    output wire[9:0] cnt    
);

reg [9:0] cnt_r;//_r 代表reg

always@(posedge sclk or negedge rst_n)
    if(rst_n == 1'b0)
        cnt_r <= 'd0;//'d0代表32位0,然后截取10位
    else
        cnt_r <= cnt_r + 1'b1;
        
assign cnt = cnt_r;
endmodule

tb-cnt

`timescale lns/100ps    //单位时标声明 声明时间精度为100ps
//#100  //#作为延时开始 延时为10nm

module tb_ex_cnt;//声明不需要端口列表
        //例化模块的时候如果原始模块是输出信号,那么括号内可以为wire变量,亦可以为reg变量
        reg bt_sclk,tb_rst_n;//激励信号的声明
        //例化模块的时候如果原始模块是输出信号,那么括号内必须为wire变量 
        wire [9:0] tb_cnt;//原始模块输出信号连接线声明
        initial//initial在上电之后仅运行一次 只能给寄存器reg变量赋值
        begin//begin...end只是在test_bench文件内部顺序执行 
            tb_sclk <= 0;//这里阻塞赋值,非阻塞赋值都可以
            tb_rst_n <= 0;//以上两句赋值语句赋值是有先后顺序的,但是延时为0
            #200  //延时20nm
            tb_rst_n <= 1;
        end
        
always #10 tb_sclk <= ~tb_sclk; //循环震荡 震荡周期为20nm

//例化的方法
//原始模块名字 例化的名字(可以自定义)
ex_cnt ex_cnt_inst(
    .sclk(tb_sclk),//.后面的名字是原始模块的端口名字 括号内为测试基地(当前)端口的名字 例化模块的时候如果原始模块是输出信号,那么括号内可以为wire变量,亦可以为reg变量
    .rst_n(tb_rst_n),
    .cnt(tb_cnt) //例化模块的时候如果原始模块是输出信号,那么括号内必须为wire变量    
);

endmodule

转载于:https://www.cnblogs.com/maskerk/p/7367387.html

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Verilog代码如下: ``` module async_fifo ( input clk, input rst, input wr_en, input rd_en, input [7:0] wr_data, output reg [7:0] rd_data, output full, output empty ); parameter depth = 8; reg [7:0] mem [0:depth-1]; reg [3:0] wr_ptr, rd_ptr; always @ (posedge clk) begin if (rst) begin wr_ptr <= 0; rd_ptr <= 0; end else begin if (wr_en & ~full) begin mem[wr_ptr] <= wr_data; wr_ptr <= wr_ptr + 1; end if (rd_en & ~empty) begin rd_data <= mem[rd_ptr]; rd_ptr <= rd_ptr + 1; end end end assign full = (wr_ptr == rd_ptr + depth); assign empty = (wr_ptr == rd_ptr); endmodule ``` 以下是测试文件tb: ``` module async_fifo_tb; reg clk; reg rst; reg wr_en; reg [7:0] wr_data; wire [7:0] rd_data; wire full; wire empty; async_fifo dut ( .clk(clk), .rst(rst), .wr_en(wr_en), .rd_en(1'b1), .wr_data(wr_data), .rd_data(rd_data), .full(full), .empty(empty) ); initial begin clk = 0; rst = 1; #5 rst = 0; #5 wr_en = 1; #5 wr_data = 8'h01; #5 wr_en = 0; #5 $finish; end always #5 clk = ~clk; endmodule ``` 请注意,这仅仅是一个简单的例子,请根据实际需要进行更复杂的测试。 ### 回答2: 下面一个简单的异步FIFO的Verilog代码,以及对应的测试bench文件: 异步FIFO代码(async_fifo.v): ```verilog module async_fifo( input wire clk, input wire reset, input wire write_en, input wire read_en, input wire [7:0] data_in, output reg [7:0] data_out, output reg empty, output reg full ); parameter DEPTH = 16; reg [7:0] memory [0:DEPTH-1]; reg [3:0] write_ptr; reg [3:0] read_ptr; always @(posedge clk or posedge reset) begin if (reset) begin write_ptr <= 0; read_ptr <= 0; empty <= 1; full <= 0; end else begin if (write_en && ~full) begin memory[write_ptr] <= data_in; write_ptr <= write_ptr + 1; if (write_ptr == DEPTH-1) write_ptr <= 0; empty <= 0; if (write_ptr == read_ptr) full <= 1; end if (read_en && ~empty) begin data_out <= memory[read_ptr]; read_ptr <= read_ptr + 1; if (read_ptr == DEPTH-1) read_ptr <= 0; full <= 0; if (read_ptr == write_ptr) empty <= 1; end end end endmodule ``` 测试bench文件(tb_async_fifo.v): ```verilog module tb_async_fifo; // Inputs reg clk; reg reset; reg write_en; reg read_en; reg [7:0] data_in; // Outputs wire [7:0] data_out; wire empty; wire full; // Instantiate the asynchronous FIFO async_fifo dut( .clk(clk), .reset(reset), .write_en(write_en), .read_en(read_en), .data_in(data_in), .data_out(data_out), .empty(empty), .full(full) ); // Clock generation always begin #5 clk = ~clk; end // Initialize inputs initial begin clk = 0; reset = 1; write_en = 0; read_en = 0; data_in = 8'h00; #10 reset = 0; end // Stimulus always @(posedge clk) begin // Write data into the FIFO if (empty) begin write_en = 1; data_in = 8'hFF; end else begin write_en = 0; end // Read data from the FIFO if (~empty) begin read_en = 1; end else begin read_en = 0; end end // Display outputs always @(posedge clk) begin $display("Data Out: %h, Empty: %b, Full: %b", data_out, empty, full); end // Stop simulation after some time initial begin #100; $finish; end endmodule ``` 这个异步FIFO模块使用了8位数据宽度,深度为16的内存阵列来存储数据。它有一个时钟输入(clk),复位输入(reset),以及写使能信号(write_en)、读使能信号(read_en)、数据输入(data_in)和数据输出(data_out)等。 测试bench文件对异步FIFO进行了简单的写入和读取操作,并在每个时钟周期显示了输出数据、空状态和满状态的情况。 请注意,上述代码只是一个简单示例,可能并不完全符合特定应用场景的需求。实际使用时,可能需要根据具体的设计规范和应用需求进行更多的优化和修改。 ### 回答3: 下面一个使用Verilog语言实现异步FIFO的代码示例: ```verilog module async_fifo ( input wire clk, input wire rst, input wire wr_en, input wire rd_en, input wire [7:0] data_in, output wire [7:0] data_out ); reg [7:0] fifo [15:0]; reg [3:0] wr_addr; reg [3:0] rd_addr; always @(posedge clk or posedge rst) begin if (rst) begin wr_addr <= 0; rd_addr <= 0; end else if (wr_en) begin fifo[wr_addr] <= data_in; wr_addr <= wr_addr + 1; end else if (rd_en) begin data_out <= fifo[rd_addr]; rd_addr <= rd_addr + 1; end end endmodule ``` 上述代码实现了一个异步FIFO模块,其中包含了一个16个字节深度的FIFO。数据通过数据输入端口data_in写入FIFO,通过数据输出端口data_out读取FIFO的数据。wr_en和rd_en分别用于控制数据写入和读取的使能信号。模块中的rst信号用于复位FIFO的地址指针。时钟信号clk用于驱动FIFO内部逻辑的时钟。 你还需要一个测试bench模块来对上述FIFO模块进行测试。下面一个可以用于测试的tb文件示例: ```verilog module async_fifo_tb; reg clk; reg rst; reg wr_en; reg rd_en; reg [7:0] data_in; wire [7:0] data_out; async_fifo dut ( .clk(clk), .rst(rst), .wr_en(wr_en), .rd_en(rd_en), .data_in(data_in), .data_out(data_out) ); initial begin clk = 0; forever #5 clk = ~clk; end initial begin rst = 1; wr_en = 0; rd_en = 0; data_in = 8'h00; #10 rst = 0; #10 wr_en = 1; data_in = 8'hAA; #10 wr_en = 0; rd_en = 1; #10 $display("Data out = %h", data_out); #10 $finish; end endmodule ``` 在上述测试bench中,通过设置不同的wr_en和rd_en信号控制数据的写入和读取操作。其中,rst信号在模块被激活之前先设为1,以确保FIFO处于复位状态。clk信号用于生成时钟信号。data_in用于写入FIFO的数据,data_out用于读取FIFO的数据。在测试bench中,我们首先对FIFO进行复位,然后写入一个字节的数据(0xAA),最后读出数据并打印到控制台上。 以上就是异步FIFO的Verilog代码和测试bench的简单示例。请注意,这只是一个最基本的实现和测试框架,实际使用中可能需要更详细的测试。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值