Quartus报错之Error: Can't continue timing simulation because delay annotation information for design is...

Error: Can't continue timing simulation because delay annotation information for design is missing

   原因如下:

   CAUSE: You attempted to simulate a design whose netlist has not been annotated with delay 

  annotation information by the Timing Analyzer or Fitter. As a result, the Simulator cannot 

  continue the current timing simulation.

  即要对工程进行时序仿真时未编译工程,导致报错

  解决办法
  ACTION: Successfully run the Timing Analyzer or Fitter before running timing simulation. 
  即时序仿真之前先编译工程

转载于:https://www.cnblogs.com/c-cloud/archive/2012/12/13/2816458.html

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值