【原创】Modelsim仿真简单流程

最近看去隔行程序时,想验证下ELA算法,用Modelsim简单的流程可以做到。

1、 打开ModelSim,如果上一次使用ModelSim建立过工程,这时候会自动打开上一次所建立的工程;

2、 点击File->New->Project,如下图:

image

在Project Name中我们输入建立的工程名,在Project Location中输入工程保存的路径,注意ModelSim不能为一个工程自动建立一个目录,这里我们最好是自己在Project Location中输入路径来为工程建立目录,在Default Library Name中为我们的设计编译到哪一个库中,这里我们使用默认值,这样,在我们编译设计文件后,在Workspace窗口的Library中就会出现work库。这里我们输入完以后,点击OK;

3、 如果提示我们给定的工程路径不存在,是否建立该路径,我们的目的就是为工程建立一个新目录,因此,点击确定;

出现如下图:

image

4、 点击Create New File可以为工程添加新建的文件,点击Add Existing File为工程添加已经存在的文件,点击Create Simulation为工程添加仿真,点击Create New Folder可以为工程添加新的目录。这里我们点击add existing file:

image

5、 点击Browse,找到已经写好的testBench 文件,Add file as type为输入文件的类型为VHDL、Verilog、TCL或text,这里我们使用verilog,Folder为新建的文件所在的路径,Top Level为在我们刚才所设定的工程路径下。点击OK;并在Add items to the Project窗口点击Close关闭该窗口;

6、这时候在Workspace窗口中出现了Project选项卡,在其中有文件名.v,其状态栏有一个问号,表示未编译:

image

我们双击该文件,这时候出现编辑窗口,在其中出现我们的设计文件:

image

7、 点击File->Save,并退出该窗口(File->Close);
8、 在WorkSpace窗口的文件名.v上点击右键,选择Compile->Compile All;

9、 在脚本窗口中将出现一行绿色字体Compile of ELA_vlg_tst.v was successful.,说明文件编译成功,在该文件的状态栏后有一绿色的对号,表示编译成功(此次先编译可以检查testBench有无语法错误):

image


10、点击上图的ELA_vlg_tst.v,右键,add to project,然后点击existing file,添加仿真所需源程序:

image

11 点击上图的ELA_vlg_tst.v,右键,选择compile—》compile all:

image

12 点击下图左下角的library,切换窗口至library窗口,展开work,对ELA_vlg_tst右键,选择simulation

image

13 仿真结束后出现如下图:

image

14 为了观察波形窗口,我们需要将要观察的数据加进wave窗口,首先在菜单栏点击window下的wave,打开wave窗口,此时没有什么图形;然后在上图蓝色的objects窗口选择所要观察的信号,这里我们选择全部:

image

15 然后在上图状态下右键,add to—>wave—>selected signals,此时波形窗口左侧出现信号:

image
16 然后呢,在菜单栏的simulate下run—>run all,即出现波形图,同时转换信号的进制以便观察:

image

分析波形后,至此,仿真成功!

退出仿真,在主窗口中点击Simulate->End Simulation,会出现对话框,提示我们是否确认退出仿真,我们点击是退出仿真;

转载于:https://www.cnblogs.com/socquan/p/3276873.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值