get_clocks

Scripting

get_clocks


The following table displays information for the get_clocks Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc 1.5

Syntax

get_clocks [-h | -help] [-long_help] [-nocase] [-nowarn] [<filter>]

Arguments

-h | -help

Short help

-long_help

Long help with examples and possible return values

-nocase

Specifies the matching of node names to be case-insensitive

-nowarn

Do not issue warnings messages about unmatched patterns

<filter>

Valid destinations (string patterns are matched using Tcl string matching)

Description

Returns a collection of clocks in the design. When used as an argument
to another command, such as the -from or -to options of
set_multicycle_path, each node in the clock represents all nodes
driven by the clocks in the collection.

# The following multicycle constraint applies to all paths ending at registers
# driven by clk
set_multicycle_path -to [get_clocks clk] 2

The filter for the collection is a Tcl list of wildcards, and must
follow standard Tcl or TimeQuest-extension substitution rules.  See
the help for use_timequest_style_escaping for details.

Example Usage

project_open chiptrip
create_timing_netlist
read_sdc
update_timing_netlist
set clocks [get_clocks c* -nocase]
foreach_in_collection clk $clocks {
    set name [get_clock_info -name $clk]
    set period [get_clock_info -period $clk]
    puts "$name: $period"
}
delete_timing_netlist
project_close

Return Value

Code Name

Code

String Return

TCL_OK0INFO: Operation successful
TCL_ERROR1ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.

 


转载于:https://www.cnblogs.com/dxs959229640/articles/3872074.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值