Verilog 读写文件

Verilog 读写文件

在数字设计验证中,有时我们需要大量的数据,这时可以通过文件输入,有时我们需要保存数据,可以通过写文件保存。

读写文件testbench

module file_rw_tb();

reg              clk;
reg              rstn;

reg   [31:0]     memh[15:0];
reg   [31:0]     data;

integer          i;
integer          handle;

initial
begin
    clk  = 0;

    rstn = 1;
    #50    rstn = 0;
    #100   rstn = 1;

    handle=$fopen("wtest.dat");
    //read data to memory
    $readmemh("test.dat",memh);


    //write data to file
    for(i=0;i<16;i = i + 1)
    begin
        $fdisplay(handle,"%h",memh[i]);
        //%b Binary ; %h  Hexadecimal ; default decimal
    end


    #800 $finish;
end


always #20 clk = ~clk;

initial begin
  $fsdbDumpfile("test.fsdb");
  $fsdbDumpvars();
end

endmodule

测试结果

847278-20161101235304236-1477111901.png

转载于:https://www.cnblogs.com/OneFri/p/6021503.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值