verilog写入数据生成.txt文本文件

先在目录下新建一个.txt文件,本文要生成的是256行16位宽的随机数



module maketxt();
    integer addr;
    reg[15:0]data_in[0:255];
    
    integer bt;


    initial begin
     for(addr=0;addr<256;addr=addr+1) begin
        data_in[addr]  =$random();
end
end


        
    initial begin
        integer i;
        bt             =$fopen("wr.txt","wb");//打开要写的文件
        if(bt==0)$stop;
        #1;
        for(i=0;i<256;i=i+1)
        begin
              
            $fwrite(bt,"%4x\n",data_in[i]);
        end
        $fclose(bt);
    end

生成数据需将代码进行仿真运行一次。

写入数据思路:先要产生符合要求的随机数,将文本打开,如果打开失败则需查看是否有此文件,打开成功开始写入数据,写完后将文本关闭

  • 3
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

与fpga斗智斗勇

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值