Verilog 关于用task仿真应注意的一个问题

  最近在做verilog仿真的时候用到了task,但是我想用task来操作外部的一些变量时遇到了一些问题,搞了好久才的一解决。

      我的问题很简单,就类似于用task来生成一个时钟信号,而我的task里面的变量是按照我的正常的设想生成的一个时钟信号,但是当我通过task的端口将这个变量传输出去的时候总是遇到外部的与task对应管教链接的变量一直是个常量的问题,就是外部并没有按照task里面对应变量来翻转,后来在网上查阅资料才发现:task 和 function总是在task执行完毕之后才将值船体出去,这样我就不能按照我预想的那样用task来生成我想要的时钟信号,后来在老师的帮助下才的一解决,就是让task直接操作你module里面对应的变量,相当于全局变量的概念,这样我就不用在task里面声明新的变量而是直接操作外部的信号,在调用task的时候,task的参数列表直接空着就可以了……

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值