vivado 的调试工具ILA抓到的波形可以保存

Vivadodebug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现:

write_hw_ila_data0730_ila_1 [upload_hw_ila_data hw_ila_1]
write_hw_ila_data 0730_ila_2 [upload_hw_ila_data hw_ila_2]

0730_ila_1为保存的文件名,需要带路径,hw_ila_1为你的ILA的名字,

 

如果要读取已保存的波形,可以用下面的命令:

read_hw_ila_data 0730_ila_1.ila
display_hw_ila_data
read_hw_ila_data 0730_ila_2.ila
display_hw_ila_data

 

 

 之前通过tcl命令保存的文件是二进制文件,能保存为ascii格式的文件吗?若是二进制文件的话怎样和波形中的数据对应。之前都是保存为ascii格式的prn文件,文件中的数据和波形的对应关系很明确。

转载:http://bbs.eetop.cn/thread-476730-1-1.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值