三段式有限状态机Verilog代码

状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。有限状态机简写为FSM(Finite State Machine),主要分为2大类:
第一类,若输出只和状态有关而与输入无关,则称为Moore状态机。
第二类,输出不仅和状态有关而且和输入有关系,则称为Mealy状态。
module FSM(
    input clk,
    input clr,
    input x,
    output reg z,
    output reg [1:0] current_state,next_state
    );//101序列检测器;
//FSM中主要包含现态CS、次态NS、输出逻辑OL;
    parameter S0=2'b00,S1=2'b01,S2=2'b11,S3=2'b10;//状态编码,采用格雷编码方式,S0为IDLE;

/*------------------次态和现态的转换---------------*/    
always @(posedge clk or negedge clr) begin
        if(clr)
            current_state<=S0;
        else
            current_state<=next_state

end /*------------------现态在输入情况下转换为次态的组合逻辑---------------*/ always @(current_state or x) begin case(current_state) S0:begin if(x) next_state<=S1; else next_state<=S0; end S1:begin if(x) next_state<=S1; else next_state<=S2; end S2:begin if(x) next_state<=S3; else next_state<=S0; end S3:begin if(x) next_state<=S1; else next_state<=S2; end default:next_state<=S0; endcase end /*------------------现态到输出的组合逻辑---------------*/ always @(current_state) begin case(current_state)//从S3要变化到S2这一刻; S3:z=1'b1; default:z=1'b0; endcase end endmodule

 

 

转载于:https://www.cnblogs.com/PG13/p/10329674.html

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值