verilog中三段式状态机

localparam IDLE = 0,
           START = 1,
		   RUN = 2;
		   STOP = 3;

//第一个always块,时序逻辑,描述现态转移到次态
always @(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        current_state <= IDLE;
    else
        current_state <= next_state;
end

//第二个always块,组合逻辑,描述状态转移的条件
always @(*)//表示综合器根据always里面的输入变量自动添加,不用自己考虑。
begin
	case(current_state)
		s1:
			if(...) next_state = s2;//组合逻辑,采用阻塞赋值
		......
		default:......;
	endcase
end

//第三个always块,时序逻辑,主要描述本模块在各状态下输出信号的值,参考模块的输出信号定义。
always @(posedge clk or negedge rst_n)
begin
    if(!rst_n)
	begin
        out1 <= ......;
		out2 <= ......;
    end
    else
		case(current_state)
			s1: out1 <= ......;
			s2: out2 <= ......;		
			default: ......;
		endcase
end

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

启文源科技

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值