VHDL课程设计:四位电子密码锁 

   荒废了一个假期,快要开学了,写篇博客"庆祝庆祝",同时,今天心情也不是很好,算了,废话不多说,下面进入正题吧。

1.题目要求:

    本次博客的题目是利用VHDL设计一个四位密码锁,题目要求如下:

        四位密码,使用数据开关K1-K10分别代表数字0-9

        输入密码用数码管显示,每输入一位,密码左移一位

        删除的是最后一位数字,删除一位,右移一位,空出位补充”0”

        用一位输出电平表示锁开闭状态

        设置万能密码,在忘记密码的情况下可以打开锁


2.源码及注释(文件附件下载):

    编译的软件为Quartus II13.0,工程如何建立大家应该都知道了,这块不多讲,就直接添加各个模块相关源码及注释 PS:实在不想排版了,附件有源码文件加载,需要用的直接下载就行。

    顶层文件:fanzhen.vhd

--顶层文件。
LIBRARY ieee; 
USE ieee.std_logic_1164.all;  
LIBRARY work; 
--实体描述
ENTITY fangzhen IS  
po