与门或门非门是计算机语言吗,VHDL实现与门,或门,非门。

行为描述方法实现

--二输入与门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY and2 IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END and2;

ARCHITECTURE and2_behavior OF and2 IS

BEGIN

c<= a AND b;

END and2_behavior;

--二输入或门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY or2 IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END or2;

ARCHITECTURE or2_behavior OF or2 IS

BEGIN

c<=a OR b;

END or2_behavior;

--非门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY not_gate IS

PORT(a:IN STD_LOGIC;

f:OUT STD_LOGIC);

END not_gate;

ARCHITECTURE not_gate_behavior OF not_gate IS

BEGIN

f<= NOT a;

END not_gate_behavior;

数据流描述方法

--二输入与门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY and2 IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END and2;

ARCHITECTURE and2_behavior OF and2 IS

BEGIN

PROCESS(a,b)

VARIABLE comb:STD_LOGIC_VECTOR(0 TO 1);

BEGIN

comb:= a&b;

CASE comb IS

WHEN "00" => c<='0';

WHEN "01" => c<='0';

WHEN "10" => c<='0';

WHEN "11" => c<='1';

WHEN OTHERS => c<='Z';

END CASE;

END PROCESS;

END and2_behavior;

--二输入或门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY or2 IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END or2;

ARCHITECTURE or2_behavior OF or2 IS

SIGNAL comb:STD_LOGIC_VECTOR(0 TO 1);

BEGIN

comb<= a&b;

WITH comb SELECT

c<= '0' WHEN "00",

'1' WHEN "01",

'1' WHEN "10",

'1' WHEN "11";

'z' WHEN OTHERS;

END or2_behavior;

--非门

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY not_gate IS

PORT(a:IN STD_LOGIC;

f:OUT STD_LOGIC);

END not_gate;

ARCHITECTURE not_gate_behavior OF not_gate IS

BEGIN

f<= '0' WHEN a='1' ELSE

'1' WHEN a='0' ELSE

'Z';

END not_gate_behavior;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值