VHDL仿真流程

VHDL仿真流程

VHDL测试平台Test Bench的主要功能有4种

  1. 例化待验证的模块实体
  2. 通过VHDL程序的行为描述,为待测模块实体提供激励信号
  3. 收集待测模块实体的输出结果,必要时将该结果与预置的所期望的理想结果进行比较,并给出报告
  4. 根据比较结果自动判断模块的内部功能结构是否正确

简单类型的Test Bench程序应该注意以下几点

  1. 整个程序的结果与常规的VHDL程序基本相同,只是在ENTITY语句中,不必写出端口描述。
  2. 为待测模块的所有输入信号定义产生激励信号的信号名和数据类型,且要求其数据类型必须是SIGNAL类型,用作输出;这是因为这些信号是与待测模块的输入信号相连的。
  3. 为待测模块的所有输出信号定义信号名和数据类型,这些信号是与待测模块输出模块相连的。
--library IEEE;
--use IEEE.STD_LOGIC_1164.ALL;
--USE IEEE.STD_LOGIC_UNSIGNED.ALL;

--entity CNT10 is
----  Port ( );
--    PORT(
--            CLK,RST,EN,LOAD : IN STD_LOGIC;
--            DATA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); --4位预置数 
--            DOUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --计数值输出 
--            COUT : OUT STD_LOGIC     --计数进位输出             
--    );
--end CNT10;

--architecture Behavioral of CNT10 is

--begin
-
  • 3
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值