我是如何在五年内实现年薪60万?

我是如何在五年内实现年薪60万?

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

今天是国庆长假前最后一天上班了,小编依旧坚守工作岗位,在工作之余依然坐在电脑前码字,为各位分享一点点可能对大家有所帮助的东西。小编在此祝各位粉丝们国庆长假玩的开心。另外为了感谢大家的支持,小编知识星球门票搞活动了,活动期间(10 月 1 号晚上截止),星球门票价从原来的168 元 / 年调整到128 元 / 年 ****(每天 0.35 元),(星球二维码在文章末尾,欢迎扫码加入)。

经常会有粉丝私信问我,如何规划职业生涯,如何做好技术,甚至还有问小编的薪资待遇。小编的粉丝大都是从事数字后端设计实现的后端工程师(其中不乏有前端,工艺或想转后端的朋友),有的是刚准备找工作的应届生,有的是刚工作一两年的,也有部分工作四五年的朋友。因此,小编今天将要从自身经历,做一个几乎适合所有粉丝的分享(因为小编也是从校园走出来的)。

数字后端工程师的基本技能

  • 熟悉 linux

  • 静态时序分析,Timing closure

  • 高性能模块的物理实现

  • 顶层 TOP 的物理实现

  • 复杂时钟结构的时钟树综合能力,能够通过 PR CTS 后的结果反馈时钟电路结构不合理之处,并协调解决

  • 熟练掌握利用 useful skew 来进行 manual clock tree eco

  • 解决设计实现中 congestion 和 short 的能力

  • 多次先进工艺成功流片经验(比如 14nm,7nm)

  • Low Power design implementation 经验

  • 利用 Redhawk 等 signoff 工具分析静态和动态 IR Drop

  • 能够从 Foundary ,vendor 提供的文档中高效提取有用信息,比如 check list

  • DRC/LVS 等物理验证

  • DFT 相关知识,比如基本原理

  • Package 相关知识

  • TCL/Perl/Python/Makefile 脚本语言(至少要有一门熟练掌握)

能力养成记

第一年

  • 熟悉并理解数字 IC 后端的整个流程

  • Block level powerplan

  • 学习写一些实用脚本

  • 简易模块的数字后端物理实现,静态时序分析和物理验证

第二年

  • 理解 tool 在每个 stage 的行为,优化流程

  • 能够处理中等复杂程度时钟结构的 CTS(约五六个时钟,含分频电路)

  • 高频模块的物理实现

  • 能够处理设计中存在比较严重 congestion 问题,比如设计中的编解码模块。

第三年

  • 处理复杂时钟树结构的时钟树综合(比如几百个时钟)

  • 能够编写时钟树约束文件(利用分段 build clock tree 的思想)

  • Fine tune 综合和 PR 之间的一致性,使得 ARM CORE 的 PPA 最佳

  • Low Power 的数字后端物理实现

  • TOP 的物理实现

  • Post-layout 的 Metal ECO

第四年

高频 sub-system 数字后端实现 flow 的优化(相当于 Top),自动化流程搭建

第五年

TOP 物理实现,IO Ring 的设计,Top PowerPlan 规划,IR Drop 分析等

以上分享的只是小编个人工作前五年的工作经历。这个经历一定不是最好的,但是希望分享出来对你们能够有所帮助。

职业发展

对于刚步入职场的数字后端工程师,建议先花 1-3 年的时间来扎实基础,把基本功练好,尽量掌握公司 flow 中做的比较好的技能,当然如果能掌握公司的核心技术,那是再好不过了。

当你在一家公司呆到三年的时候,你有可能想跳槽,这个其实是很正常的现象(毕竟大家都很现实,特别是在北上广深的朋友,大家都需要买房养家糊口)。马云说过员工离职就两个原因,我觉得总结很到位。

  • 钱,没给到位;

  • 心,委屈了。

任何企业家都不是慈善家,如果公司给予你更多,意味着公司想从你身上得到更多。所以,跳槽前需要问下自己想要什么样的生活,自己权衡好利弊。

跳槽的好处

  • 换一个更好的平台,有更广阔的发展空间

  • 能够学到更多以前没有接触的东西

  • 能够提高薪资待遇(三年左右工作经验跳槽可以获得最大涨幅

跳槽的成本 =~ 适应新环境新同事的成本 + 工作强度加大成本

第 3-5 年机会合适可以转换一个平台,继续学习和积累更多工作经验,寻找更多发展机会和空间。

第 6 - 后续 ing 可以根据个人兴趣方向,进行角色转变。

  • 继续钻研技术路线

可以继续从事后端工作,也有部分后端转前端。因为有不少做数字后端的朋友,在学校期间是做前端设计的,因此这部分人希望重新捡回前端,并希望自己站在后端实现的角度上去做 coding 和集成。

  • 管理路线

这里说的做管理,可以是指纯粹做管理,也可以指带一个团队,自己仍然也做技术。

  • 销售路线

有的工程师可能比较喜欢交朋友,与人打交道,可能会选择去做市场销售,比如卖 tool,卖 IP 等。千万别小看这样的职位,他们依然需要有数字后端工作经验的。如果没有技术背景,吹牛逼是很容易出破绽的。

  • 创业路线

也有部分大神不甘心一辈子给别人打工,选择找几个朋友同事一起合伙创业的。小编打心里佩服这种人,因为我知道创业的艰辛,特别是芯片行业。

小编知识星球简介:

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab 的编写

  • 基于 ARM CPU 的后端实现流程(已经发布)

  • 利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现(已经发布)

  • 基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程(准备中)

  • 时钟树结构分析

  • 低功耗设计实现

  • 定期在星球布置作业题(星球已经支持布置作业功能)

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在 24 小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

反正它是一个缩减版的论坛,增强了大家的互动性。更为重要的是,微信有知识星球的小程序入口。星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有五十五位星球成员,感谢这五十五位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。(星球的门槛将会越来越高,有需求的朋友趁早上车)

往期文章推荐

教你彻底搞懂 ARM Cortex-A75 CPU 的数字后端实现报告

数字后端设计实现中 route 阶段的那些事

低功耗设计实现中 secondary power pin 的连接方法汇总

数字后端面试问答 No.22-24(每日三问)

免费领取数字 IC 后端实现培训教程,再赠送一箱水蜜桃!

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

想要彻底掌握 placement 各种技巧,这个一定可以如你所愿!

IC 自媒体访谈:吾爱 IC 社区

教你轻松玩转天线效应 (Process Antenna Effect)

深度揭秘异步复位同步释放原理

数字后端面试问答 No.19-21(每日三问)

这些低功耗设计实现经验,你真的懂了吗?

Lockup latch 的用法,看这个就够了!

深度解析 Create_clock 与 Create_generated_clock 的区别

盘点数字后端设计实现用到的各种文件

clock jitter 是否对 hold time 有影响?(文末有福利)

为什么时钟树上要用 clock inverter(min pulse width check)

LVS 就是这么简单!(数字后端物理验证篇)

揭秘为何 net delay 是负值(数字后端实现时序篇)

PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)

一网打尽时钟树综合 Clock Skew

数字后端设计实现之时钟树综合实践篇

【惊呆了!】你居然还在用 flatten 方式进行 timing signoff

数字后端面试问答 No.16-18

合理的时钟结构能够加速 Timing 收敛(时钟树综合中级篇)

数字后端面试问答 No.13-15(每日三问)

【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)

数字后端面试问答 No.10-12(每日三问)

数字后端面试问题 No.7-9(每日三问)

听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)

15 天零基础入门到精通 python - 最全的视频教程

数字后端面试问答 No.4-6(每日三问)

IR Drop 分析之 Redhawk 分析流程

CRPR 能补偿 crosstalk 吗?

原来电路最高工作频率是这么算出来的(STA 基础篇)

数字后端面试问答 No.1-3(每日三问)

秒杀数字后端实现中 clock gating 使能端 setup violation 问题

教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性

数字芯片设计实现中修复 setup 违例的方法汇总

数字 IC 设计中 ECO 的那些事,其实并不是事!

Scan chain reordering 怎么用你知道吗?

如何评价数字后端设计中 floorplan 的好坏?

数字后端实现时 congestion 比较严重,你 hold 得住吗?

数字后端实现 place 过程进阶

Final netlist release 前,你应该做好哪些工作?

基于 Physical Aware 的动态功耗优化实现方案

深入浅出讲透 set_multicycle_path,从此彻底掌握它

【大师必备】最全的数字 IC 设计经典书籍电子版下载

你与数字后端大神的差距在这里,快来瞧瞧!

数字后端实现时 congestion 比较严重,你 hold 得住吗?

时钟树综合(clock tree synthesis)基础篇

【福利】数字 IC 后端各种 Userguide 下载

好了,今天的码字就到这里了,原创不容易,喜欢的可以帮忙转发和赞赏,你的转发和赞赏是我不断更新文章的动力。小编在此先谢过!与此同时,吾爱 IC 社区(52-ic.com)也正式上线了。吾爱 IC 社区(52-ic.com)是一个专业交流和分享数字 IC 设计与实现技术与经验的 IC 社区。如果大家在学习和工作中有碰到技术问题,欢迎在微信公众号给小编留言或者添加以下几种联系方式进行提问交流。

https://mp.weixin.qq.com/s/7nv41wCOpGFasr5T8IslUA

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值