Verilog学习日志

     这两天感觉自己大脑的缓存要满了。经常会发现自己忙了一下午,到处看书、记笔记,但事后又没有什么印象深刻的知识点。所以我把主要的知识点记下来。

     阅读了verilog程序,有LED闪烁程序,蜂鸣器控制,串口通信和数码管显示程序。

      LED灯控制应该是FPGA开发板的入门教程。在此学习到了引脚分配和时钟。

  蜂鸣器控制甚至给了我一些野心。因为给晶体一个指定频率的方波,就可以发出相应声调的声音。我瞬间就联想到能否做个音质渣到爆的mp3。最后成功地。。播放了植物大战僵尸的音乐简谱,是在网上找的数字简谱。然后我想到一个数字简谱也就是几个Kb,为什么mp3往往是几M呢。然后我百度了一些音乐知识,我发现音乐比我想象地复杂得多。然后,我放弃了继续研究的想法。

      其实通过这些例程入了门之后,对于verilog语言本身,就没有什么巨大的改观了。串口和数码管是放在一起学的。用串口发送信息给FPGA板,处理FPGA板的结构以便在液晶屏上显示对应数字,完成了一位字符的串口通信。但当发送字符超过一位时,串口的处理不可控。因为串口发送端是用PC机的串口调试助手,我考虑找一个开源的串口处理程序,以便找到问题所在。

  


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值