Quartus 基本使用简介

本文主要介绍如何使用Quartus18.1建立工程,并使用VWF进行功能仿真。

一、建立工程

1、打开Quartus软件,选择File>New Project Wizard。进入新建工程向导,单击Next。

2、设置工程目录和工程名,而后点击Next。这里以ex1为例。

注意:不能有中文路径和空格!!!

3、建立空白工程即可,点击Next。无需添加文件,继续点击Next。

4、选择器件

需要根据开发套件中FPGA的具体型号进行选择,后面可以更改。这里选择的是EP4CE6F17C8。选择后继续点击Next。

5、保持默认设置,点击Next。出现Summary界面,点击Finish,完成工程建立。

完成后进入下图所示界面。

二、输入设计

1、我们新建一个设计文件。选择File>New,打开下图所示窗口。选择 Verilog HDL File 后单击 OK。

2、在中间代码编辑界面输入代码。

注意:这里module后的模块名称需要和工程名相同。这里为ex1。

module ex1(
input a,b,c,
output s
);

assign s = a^b^c;

endmodule 

2、使用Ctrl+S,点击保存,保存文件。

注意:这里文件名需要和module后的模块名称相同。这里为ex1。

3、编译。选择Processing>Start Compilation 运行编译器,或者单击下图编译按钮,或者在Tasks下双击编译按钮。

4、编译完成后,没有报错,如下图所示。

三、功能仿真

1、新建波形测试文件。选择File>New,打开下图所示窗口。选择University Program VWF 后单击 OK。

2、在下图界面左边空白处,单击右键,点击Insert Node or Bus;在弹出的窗口单击Node Finder。

3、在Node Finder窗口选择List,列出了ex1的所有输入输出信号。点击>>图标,将所有信号添加到右侧,点击OK。再点击OK。回到vwf文件编辑界面。

4、通过工具栏设置所有输入信号的波形。设置好后,使用Ctrl+S保存,不要更改文件名。

注意:不要更改.vwf的文件名!!!!

5、点击Simulation >> Run Functional Simulation,进行功能仿真。完成后,弹出仿真波形。对比波形,和设计逻辑 s = a^b^c相同。完成仿真。

四、小结

FPGA的开发流程包括了设计、仿真、下载等步骤。对于初学者来说,仿真可以快速验证设计的功能是否正确。

Quartus中建立仿真文件的方法有多种,其目的都是产生测试的激励信号,使用波形输入的方法,最为直观和便于理解。

此外,大部分EDA软件都以 工程 为基本组织结构,以实现对文件的管理和对设计流程的控制。

  • 11
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Quartus是一种由Intel开发的集成电路设计软件,而ModelSim是一种常用的硬件描述语言仿真工具。下面是使用Quartus和ModelSim的简要教程: 1. 首先,打开Quartus软件并创建一个新的项目。在菜单栏中选择File->New Project Wizard,并按照向导的指示完成项目的设置。 2. 在项目中编写设计程序。你可以使用Verilog或VHDL等硬件描述语言编写你的设计代码,然后将其保存在工程目录下的文件中。 3. 编写完设计程序后,使用Quartus对代码进行编译。点击工具栏中的编译按钮或选择菜单栏中的Processing->Start Compilation来开始编译。 4. 编译完成后,你可以通过Quartus来查看代码的综合结果和优化报告。 5. 接下来,你需要添加一个测试程序(test bench)来验证你的设计。在Quartus中,你可以创建一个新的文件来编写测试程序,并将其保存在项目的simulation目录下。 6. 编写测试程序后,使用ModelSim对代码进行仿真。在Quartus中,你需要进行一些仿真前的设置,例如设置仿真时钟等。你可以在菜单栏中选择assignments->settings来进行设置。 7. 设置完成后,你可以打开ModelSim仿真工具,加载你的设计和测试程序,并进行仿真运行。你可以在ModelSim中观察信号波形、调试代码等。 请注意,这只是一个简要的教程,涵盖了Quartus和ModelSim的基本使用步骤。如果你需要更详细的教程或有其他相关问题,请告诉我。 相关问题: 1. 如何在Quartus中添加约束文件(Constraint file)? 2. 如何在ModelSim中调试设计中的错误? 3. 有没有其他替代Quartus和ModelSim的集成电路设计和仿真工具?
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值