Python3开发环境配置:Python3+VsCode【保姆级】

引言:Python3开发环境配置,保姆级介绍Python和VsCode安装,但需注意的是,每个人电脑环境不一致,因此最好自行前往官网下载匹配自身电脑的安装包。

一、Python3与VsCode的区别

  Python3是编程语言,VsCode是写Python语言程序的工具,安装的Python是负责执行Python语言编写的程序,安装的VsCode用来编写Python语言程序,是一个提升工作效率的程序编辑器。

二、Python3安装

(1)双击或右键安装

自行选择是默认或者自定义安装,切记一定要勾选上环境变量
在这里插入图片描述

(2)自定义安装配置

在这里插入图片描述

(3)设置路径

在这里插入图片描述

(4)安装

在这里插入图片描述

(5)安装成功

在这里插入图片描述

三、VsCode安装

(1)双击或右键安装,选择我同意此协议

双击

(2)默认或自定义设置安装路径位置

在这里插入图片描述

(3)自定义配置

在这里插入图片描述

(4)添加到环境变量

环境变量一定要勾选,计算机重启之后才能再次找到安装的VsCode
在这里插入图片描述

(5)安装

在这里插入图片描述
在这里插入图片描述

(6)安装成功

在这里插入图片描述

(7)初次配置

安装后初次打开时,会选择风格习惯,也可以后选择设置
在这里插入图片描述

四、VsCode常用Python插件

使用VsCode进行Python程序开发,常用插件如下:

(1)Python基本插件

在这里插入图片描述

(2)Python代码规范插件

在这里插入图片描述

(3)代码补全插件

在这里插入图片描述
在这里插入图片描述

五、VsCode配置Python解释器

Python和VsCode安装好了之后,现在需要在VsCode中配置Python解释器,才能够执行编写的Python程序。

使用 快捷键:Ctrl + shift + P

在这里插入图片描述

点击Python:选择解释器

在这里插入图片描述

  选择安装的Python解释器,不存在的,选择输入解释器路径,输入Python3安装路径中的python.exe的绝对路径。

六、初识Python与运行

  1. 新建一个Python文件,如hello.py
    在这里插入图片描述
  2. 右键运行

  注意事项:单个程序,即不依赖其它自定义的Python模块时可使用该方法,否则容易产生路径错误问题,建议尽量还是使用命令行的方式去运行代码。

在这里插入图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
好的,我可以回答你的问题。下面是关于Python生成testbench和Vivado+VSCode配置的流程。 1. Python生成testbench Python可以用来生成testbench代码,这样可以减少手动编写testbench的工作量。下面是一个简单的Python代码生成testbench的示例: ```python import random # 生成 testbench 的代码 def generate_testbench(): # 打开输出文件 f = open("testbench.v", "w") # 生成模块名称 module_name = "testbench_" + str(random.randint(0, 100)) # 写入模块头 f.write("module " + module_name + ";\n") f.write("\n") # 写入输入和输出端口 f.write("reg a;\n") f.write("wire b;\n") f.write("\n") # 写入被测模块的实例化 f.write("DUT dut(\n") f.write("\t.a(a),\n") f.write("\t.b(b)\n") f.write(");\n") f.write("\n") # 写入测试代码 f.write("initial begin\n") f.write("\ta = 1'b0;\n") f.write("\t#10;\n") f.write("\ta = 1'b1;\n") f.write("\t#10;\n") f.write("end\n") f.write("\n") # 写入模块尾 f.write("endmodule\n") f.write("\n") # 关闭输出文件 f.close() ``` 上面的代码会生成一个包含一个简单testbench的Verilog文件。你可以根据需要修改生成的testbench代码。 2. Vivado+VSCode配置流程 Vivado是一个非常流行的FPGA设计工具,VSCode是一个轻量的代码编辑器,它们可以一起使用进行FPGA设计。 下面是Vivado+VSCode配置的流程: 1. 安装Vivado和VSCode 2. 打开Vivado,创建一个新的项目 3. 在项目中创建一个新的Verilog模块 4. 在VSCode中打开这个模块的Verilog文件 5. 在VSCode中安装Verilog插件 6. 在VSCode中配置Vivado的路径 7. 在VSCode中配置Vivado的Tcl shell路径 8. 在VSCode中配置模块的testbench文件路径 9. 在VSCode中运行testbench 详细的配置流程可以参考Vivado官方文档和VSCode官方文档,这里就不再赘述了。 希望这些信息能对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

raykingl

你的鼓励将是我创作的最大动力!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值