左移寄存器vhdl_用VHDL实现的通用循环移位寄存器

杂谈

--

----shift_register_fanfan.vhd-----------------------------------------------

library ieee;

use ieee.std_logic_1164.all;

----------------------------------------------------------------------------

entity shift_register_fanfan is

generic(N:integer:=7);

port(

clk:in std_logic;     ----

输入时钟,上升沿移位。

rst:in std_logic;     ----

复位信号,高电平复位。

start:in std_logic;   ----

移位开始,一段低电平读入输入信号,高电平开始移位。

data_in:in std_logic_vector(N downto 0);    ----

输入的需要移位的信号。

data_out:out std_logic_vector(N downto 0)   ----

移位后输出的信号。

);

end shift_register_fanfan;

----------------------------------------------------------------------------

architecture rtl of shift_register_fanfan is

signal high_temp:std_logic;                      ----

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值