VHDL中的左移函数

本文介绍了VHDL中用于左移位的函数,该函数将七值逻辑位向量左移一位,并使用指定的fill参数填充最后一位。函数包括两个参数:待移位的位向量和填充位。HIGH和LOW属性分别表示类型的上限值和下限值。
摘要由CSDN通过智能技术生成

VHDL中的左移函数

原描述如下

function SHL (v2 : MVL7_VECTOR; fill : MVL7) return MVL7_VECTOR is
	variable v1 : MVL7_VECTOR(v2' HIGH downto v2' LOW);
	vaiable shift_val : MVL7_VECTOR(v1' HIGH downto v1' LOW);
	variable I : integer;
begin
	v1 := v2;
	--变量v1先保存参数v2的值,只对v1进行处理
	for I in v1' HIGH downto (v1' LOW + 1) loop
		shift_val(I) := v1(I - 1);
	end loop;
	--将v1的每一位向左移一位
	--将移位后的新位向量保存在shift_val数组中
	shift_val(v1' LOW) := fill;    --最后一位由参数fill填充
	return shift_val;                  --返回移位后的位向量
end;

此左移函数将一个七值逻辑位向量左移一位,而最后一位用参数fill所指定的位来填充。
该函数有两个参数,一个是要向左移位的七值逻辑位向量,另一是用来填充移位后位向量的最后一位参数,该参数的数据类型为位。返回值仍然是一个七值逻辑位向量。

其中HIGH和LOW是两个值类型属性。HIGH返回类型或子类型的上限值,而LOW则返回类型或子类型的下限值。类型或子类型的上限值是具有最大值的边界,而下限值是具有最小值的边界。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值