ise verilog多模块编译_用VSCode编辑verilog代码、iverilog编译、自动例化、自动补全、自动格式化等常用插件...

本文详细介绍了如何在VSCode中配置Verilog开发环境,包括安装iverilog、GTKWave插件实现代码编译与波形查看,利用Verilog-HDL插件进行自动例化,设置Verilog Format实现自动格式化,以及解决编码问题和推荐的辅助插件。通过这些步骤,可以提升Verilog代码编写效率。
摘要由CSDN通过智能技术生成

------------2020.12.21------------windows10------------

绪论

  • 一个好用的编辑器,就好比斧头之于伐木工,锋利的、自动化的,能极大的提高工作效率
  • 能编辑verilog代码的工具有很多:notepad++SublimeVSCode、Vivado、Quartus等等。
  • 其中的VSCode就目前而言,未必是完美的编辑器,但一定是充满魅力的、有着无限潜力的。
  • 语法高亮、自动补全、自动检错、自动排版、版本管理,只有你想不到,没有vscode做不到。

第0章 熟悉VSCode

下载、安装、安装中文插件、首选项-设置、文件比较、快捷键、主题、编码、用户代码片段、配置python环境、git版本管理 等。

请参见:

ljgibbs:VSCode 布道指南 V1.0 (一)​zhuanlan.zhihu.com
f10320ed92abd18e29d8c440ceb001ca.png
ljgibbs:VSCode 布道指南 V1.0 (二)​zhuanlan.zhihu.com
f10320ed92abd18e29d8c440ceb001ca.png

好的,到这里,你已经熟悉了VSCode。但想愉快的书写verilog代码却并不轻松。

下面我们让我们新建一个文件夹"folder",并在vscode中打开这个文件夹;然后写一个简单的verilog代码"code.v":

1a8c16b72024a5b03e3a530146a119df.png

第一章 VSCode+iverilog+GTKWave

首先,在扩展里搜索"verilog",找到下载人数最多的这个插件:Verilog-HDL/System...

a869d5bd3fb5743f0269d8a852a08fc8.png

点一下“安装”按钮即可完成安装,此时我们发现code.v里面的代码有了颜色,但它并没有自动检错的功能。

16d3938de3789ced82e11df4708a436e.png

这时候,我们需要阅读这个插件的说明了解插件的用途,并按照说明配置这个插件。

8e4c6a5ed10ca34bc7901a9466c77937.png

这样的英文说明可能对于您来说并不友好,您可以点击标题前往VS Code Marketplace:

插件的官网:

Visual Studio Marketplace​marketplace.visualstudio.com
dda8de03cf8388698034e3972835c85b.png

15c1bb6773940090f67e0d46a425089e.png

<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值