艾为数字ic面试题_数字IC笔试题(2017年)

转眼之间又到了一年一度的校招时间,回想去年这个时候,真的是经历了长达数月的兵荒马乱。现在也入职几个月了,想给去年的自己找工作做个总结,也供今年校招的童鞋做一些参考。

废话不多说,结合多家的笔试题,变来变去无非就这几大类:亚稳态相关

(1) 理解亚稳态的概念以及避免亚稳态的方法;复位电路的亚稳态及解决方法:采用“异步复位、同步释放”(电路结构和原理)

(2) 单比特信号跨时钟域传输,包括从慢时钟域到快时钟域和快时钟域到慢时钟域的电路实现结构和原理

(3) 多比特数据流跨时钟域传输,包括握手方法和异步FIFO。异步FIFO可考察的知识点就太多啦,比如FIFO的深度设计,gray码实现FIFO指针,如何在2次幂和非2次幂深度下设计相应的读写指针,FIFO满空的产生等。这部分很重要,发现很多公司都喜欢考这个题型

2. setup time 和 hold time

(1) 时序报告分析,找关键路径,计算最高的工作频率

(2) 分析和修复setup time validation和 hold time validation

3. 电路设计及代码实现

(1) MUX实现NOT,AND,OR,锁存器,D触发器等

(2) 分频器,包括奇数倍分频,偶数倍分频,小数分频

(3) 序列检测器(FSM实现),计数器

(4) 同步FIFO,异步FIFO

4. 低功耗相关

常用的低功耗方法,如clock gating(掌握电路结构图),了解DVFS,多阈值电压技术,多电压技术

5. 协议的代码实现

掌握一些常用的协议,能根据描述和时序图用verilog实现,如I2C,SPI,SRAM,AMBA总线协议等

6. SV基础

fork ...join,fork ...join_any,fork ...join_none的考察,事件触发,队列操作等

7. 脚本基础

正则表达式的考察,能根据要求对文件做一些简单的处理操作

8. 其他

IC设计流程,看波形图画电路,CMOS非/与非/或非门结构,用指定的门单元实现表达式逻辑,找出verilog代码中的错误,阻塞、非阻塞赋值,乘法器硬件实现等

总体来说,题目不是很难,重点考察大家的基础。以上也是本人能回忆和想到的一些题目,欢迎大家补充和完善。

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值