SVA介绍(一)

2 篇文章 0 订阅

UVM擅长将需要验证的代码抽象成xaction级别,从而时间对验证对象的端到端比较。然而,对那些与时序强相关的代码如调度,验证效果不佳。对时序强相关的验证,可以通过SVA实现白盒验证。
SVA是一种描述性语言,可以完美的描述时序相关的状况,内部也提供了若干内嵌函数方便我们调用.
SVA代码可以直接添加到verilog代码内,通过宏隔开;如果想把SVA与代码分开,就需要验证人员独立搭建验证模块,搭建步骤如下:
1.收集需要验证的信号,封装到module内部;
2.梳理验证时序的特点,增加对应时序的property;
3.对需要确认行为的用assert;覆盖的行为用cover;
4.用bind将dut与module连接起来;
5.用bind时需要知道如:dut的层次结构是harness.a.b.c;bind的时候使用的是harness.a,那么其可以直接获取层次b和b.c的信号,调用信号时可以直接使用b或者b.c.
下面是sva一个实例:
1.端口信号

在这里插入图片描述
2.辅助逻辑,只是举例说明,根据具体应用添加
在这里插入图片描述
3.添加property
在这里插入图片描述
4.增加cover/assert
在这里插入图片描述
5.信号bind,图中圈住的信号便是当前层次下的信号,可以直接使用.
在这里插入图片描述

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值