cubemx 定时器_基于高级定时器的全桥移相PWM发波方案

本文详细介绍了如何使用STM32的高级定时器(如TIM1/TIM8/TIM20)的非对称PWM模式设计全桥移相控制PWM波形。通过设置不同通道的比较值,实现Q1/Q2/Q3/Q4开关管的移相控制,降低开关损耗和电磁干扰。提供两种配置方案,一种使用CH1/CH1N/CH2/CH2N,另一种使用CH1/CH1N/CH3/CH3N,为数字电源设计提供了参考。
摘要由CSDN通过智能技术生成
前言

用于移相控制的全桥PWM变换器是中大功率DC-DC变换电路中最常用的电路之一,由于其可以实现开关管的软开关特性,在数字电源的设计中被广泛采用,本文基于STM32中高级控制定时器设计了一种全桥移相控制PWM发波方案。

移相全桥PWM发波要求

移相全桥的拓扑如下图所示,由于谐振电感L1的存在,结合移相控制,使开关管达到零电压开通(ZVS),从而有效的降低了电路的开关损耗和开关噪声,减少了开关过程中的产生的电磁干扰。

fed0c7944e59cd490a91093838aed03f.png

移相控制的PWM驱动波形如下,Q1/Q2/Q3/Q4分别对应上图中的4个开关管的驱动。

d1c77f6678b64aca9c9588819752b509.png

Q1与Q2驱动互补,插入死区;Q3与Q4驱动互补,插入死区。所有PWM波形的占空比固定,Q3/Q4相对与Q1/Q2移相,相位大小由控制环路确定。

高级定时器移相PWM生成

在高级定时器中(TIM1/TIM8/TIM20),针对移相控制专门设计了一种PWM工作模式,即非对称PWM模式(Asymmetric PWM mode),在中心对称计数模式下,允许计数器向上计数时的比较事件与向下计数时的比较事件分别由两个不同的比较值确定,如下:

OC1REFC由TIMx_CCR1(向上)与TIMx_CCR2(向下)控制

OC2REFC由TIMx_CCR2(向上)与TIMx_CCR1(向下)控制

OC3REFC由TIMx_CCR3(向上)与TIMx_CCR4(向下)控制

OC4REFC 由TIMx_CCR4(向上)与TIMx_CCR3(向下)控制

为了生成移相PWM波形,本文选择以下两种方案:

  • 方案1:Q1/Q2由CH1/CH1N生成,Q3/Q4由CH2/CH2N生成。

  • 方案2:Q1/Q2由CH1/CH1N生成,Q3/Q4由CH3/CH3N生成。

方案1在高级定时器中的关键配置如下(使用CubeMx工具):

使能CH1/CH1N/CH2/CH2N。

0454137b1c7819f9bdb6a569ad9d17f2.png

中心对齐模式,周期值设定为10000。

241bde97932439b142b31b057c01c9a0.png

CH1与CH2的工作模式为Asymmetric PWM2,比较值为5000,用于产生50%固定占空比波形。

3bb106e13b07416d8781b51a2eed4cb3.png

编译生成keil工程,添加如下代码,Phase_shift_cnt代表相位偏移值。

f05f4606c5b142b46cbe76e6f057704d.png

测试结果如下(可双击播放视频),黄色与蓝色波形分别对应CH1与CH2,红色波形用来参考移

相结果,其对应的互补波形未抓取。

方案2在高级定时器中的关键配置如下(使用CubeMx工具):

使能CH1/CH1N/CH3/CH3N,CH2与CH4使能为PWM Generation No Output。

90aaa6dc06fe370b05fa1c03dc7adf4d.png

中心对齐模式,周期值设定为10000。

               d68447e84d74bee1c0a95d0818719321.png

CH1与CH3的工作模式为Asymmetric PWM2,比较值为5000,用于产生50%固定占空比波形。CH2与CH4的工作模式为PWM mode 2,比较值为5000。

2c4a8dd11e5683a682cb60a4a0ebd94f.png

编译生成keil工程,添加如下代码,Phase_shift_cnt代表相位偏移值

6ecd1e890e6d0c576737b8ff84922ac6.png

测试结果如下(可双击播放视频),黄色与红色波形分别对应CH1与CH3,其对应的互补波形未抓取。

总结

本文介绍了STM32的高级定时器的非对称模式工作原理,并利用其生成全桥移相控制的PWM驱动实例,可作为使用STM32 MCU 进行移相全桥控制的PWM驱动生成参考。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值