microblaze读写fpga寄存器_FPGA入门(二)

一、FPGA学习路线

  工具使用 -> 语法学习 -> 逻辑设计 -> IP使用 ->接口设计 -> 时序分析 -> 片上系统

1、工具使用

  Altera:Quartus II

  Xlinx: Vivado

2、语法学习

  Verilog HDL(FPGA设计的是电路)

3、 逻辑设计

  组合逻辑:多路选择器、加法器、译码器、乘法器 ·······

  时序逻辑:计数器、分频器、移位寄存器、定时器 ·······

  接口逻辑:UART,CAN,SPI,LVDS ······

  状态机,线性序列机

4、IP使用

  计数器、IO、FFT、各种软核CPU(MC8051)·······

5、接口设计

  Avalon ST、Avalon MM、FSMC和FPGA

6、时序分析

  时序分析和时序约束

7、片上系统

  SOPC: 使用FPGA通用逻辑搭建CPU和外围设备电路

  Intel: NIOS II 软核CPU,ARM CortexA9硬核

  Xilinx: MicroBlaze软核CPU,PowerPC硬核,硬核ARM CortexA9

  MCS8051、开源软核CPU、CortexM0

二、FPGA开发流程

  1、设计定义

  2、设计输入(verilog、原理图)

  

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值