ncverilog脚本_NC-Verilog仿真详解.doc

本文详细介绍了NC-Verilog仿真,包括其在IC设计验证中的作用,使用步骤,如ncvlog、ncelab和ncsim命令,以及如何配合simvision进行波形查看。此外,还提到了ncverilog的三步模式和单步模式,以及在不同模式下的文件配置和操作。
摘要由CSDN通过智能技术生成

NC-Verilog仿真详解

ncverilog仿真详解

发表在 ASIC/FPGA/汇编, 学习笔记, 编程开发 ?|? 由 阿布 ?|? 十一月 26, 2011 ?|? 0 标签: ncverilog, 仿真

数位IC工具简介——Simulator 工具ModelSim??? ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体.NCSim??? NC-SIM 为 Cadence 公司之 VHDL与Verilog混合模拟的模拟器 (simulator),可以帮助 IC 设计者验证及模拟其所用VHDL与Verilog混合计设的 IC功能.NCVerilog??? NC-Verilog 为 Cadence 公司之 Verilog 硬体描述语言模拟器 (simulator),可以帮助 IC 设计者验证及模拟所设计 IC 的功能.使用 NC-Verilog软体,使用者必须使用 Verilog 硬体描述语言的语法来描述所要设计的电路.VCS??? VCS 为 Synopsys 公司之 Verilog 硬体描述语言模拟器 (simulator),可以帮助IC设计者验证及模拟所设计 IC 的功能.使用 VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.

ncverilog使用

ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single stepncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作

ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式

三命令模式:? ncvlog -f run.f? ncelab tb -access wrc? ncsim tb -gui? 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误

??注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录? 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

? 注意:ncelab要选择tb文件的module,会在snapshot文件夹下生成snapshot的module文件? 第三个命令中,gui选项是加上图形界面? 在这种模式下仿真,是用“ – ”的。而下边要说的ncverilog是采用“ + ”的? 三命令模式下GUI界面较好用,其对应的命令会在console window中显示

? 注意:选择snapshot文件夹下生成的module文件进行仿真

单命令模式:? ncverilog +access+wrc rtl +gui? 在这里,各参数与三命令模式相同。注意“ + ”? 通常都使用单命令模式来跑仿真,但要配置好一些文件

单命令模式下文件的配置:? 目录下有源文件、测试台文件、file、run四个文件? 在linux下执行source run后再执行simvision来查看?????? run文件内容: ncverilog +access+rw -f file????? file文件内容: cnt_tb.v(注意把tb文件放在前)??????????????????? cnt.v?????? tb文件中应该包含:???????????????? initial?????????????????? begin???????????????????? $shm_open(“wave.shm”);???? //打开波形保存文件wave.shm???????????????????? $shm_probe(cnt_tb,”AS”);?? //设置探针?????????????????? end? A — signals of the specific sc

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值