NC_verilog的命令行仿真

1.先准备要仿真的Verilog文件

`timescale 1ps / 1ps
module MUX2_1 ( y, s, b, a );
// PORT DECLARATIONS
output y;
input s, b, a;
wire y, s, b, a;
// INTERNAL SIGNALS
wire sn, sb, sa;
// NETLIST
not (sn, s);
and (sb, b, s );
and (sa, a, sn);
or (y, sb, sa);
endmodule
`timescale 1ps / 1ps

module test;
//DECLARE SIGNALS
reg a, b, s;
wire y;
// INSTANTIATE MUX
MUX2_1 m1 ( y, s, b, a );
// APPLY STIMULUS
initial begin
a = 0; b = 1; s = 0;
#5 a = 1; b = 0;
#5 s = 1;
#5 a = 0; b = 1;
#5 $finish;
end
// MONITOR RESPONSE
initial
$monitor($time,,"y=%b a=%b b=%b s=%b",y,a,b,s);
endmodule

在这里插入图片描述
2.然后打开终端 teminal,将用户夹里面的lab1复制到自己的学号目录下
在这里插入图片描述
在这里插入图片描述
3.编译verilog文件之前,先要定义库cds.lib
在这里插入图片描述
在这里插入图片描述
4.利用cat命令查看库
在这里插入图片描述
5.在当前目录下并没有lab1-mux.lib这个文件夹,所以在当前目录下创建这个文件。
在这里插入图片描述
6.创建hdl.var,并用cat命令查看
在这里插入图片描述
7.编译verilog文件
在这里插入图片描述
在这里插入图片描述
8.ncelab模块名,生成snapshot文件
在这里插入图片描述
在这里插入图片描述
9.这时候用ncsim进行仿真,用模块名test
在这里插入图片描述
根据仿真结果,可以看出是正确的
10.进入drink_machine 这个文件夹
在这里插入图片描述
11.编辑makefile文件,用vi命令打开makefile文件
在这里插入图片描述
12.输入命令make run
在这里插入图片描述

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值