systemverilog十六进制转换为二进制_进制转换

我们平常生活中常用的大多是十进制数(decimal number),其实除了十进制数,我们对其它的二进制数(binary number)、八进制数(octal number)以及十六进制数(hexadecimal number)应该也有所了解,下面我主要讲解它们互相的转换所用到的方法.

1.余数法:

十进制数 转 二进制数:

其实方法很简单:用2整除十进制数,得到一个商和余数;再用2整除,又会得到一个商和余数,如此重复,直到商小于1为止,然后把先得到的余数作为二进制数的低位,后得到的作为二进制数的高位,以此排列.

6ad47dcadbeb59bc9a2ef9938841c904.png
图1 十进制数转二进制数

如图1所示:将十进制数:100,进行转换成二进制:1100100

十进制数 转 八进制数 :

72fbe2ff9f7e023b11a309d1a2690b36.png
图2 十进制数转八进制数

如图2所示:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值